From bfcbece234f67c1f2677883facd095465f3dc795 Mon Sep 17 00:00:00 2001 From: Dmitry Selyutin Date: Wed, 18 May 2022 09:41:02 +0000 Subject: [PATCH] ghostmansd: update status --- ghostmansd.mdwn | 74 ++++++++++++++++++++++++++++--------------------- 1 file changed, 43 insertions(+), 31 deletions(-) diff --git a/ghostmansd.mdwn b/ghostmansd.mdwn index 975121866..73ef1fddc 100644 --- a/ghostmansd.mdwn +++ b/ghostmansd.mdwn @@ -1,43 +1,55 @@ + + # Dmitry Selyutin (ghostmansd) -S/W developer -ghostmansd@gmail.com -# Status tracking +# Status Tracking + + +## Currently working on + +* [Bug #671](https://bugs.libre-soc.org/show_bug.cgi?id=671): + convert spec pseudocode to use XLEN width +* [Bug #833](https://bugs.libre-soc.org/show_bug.cgi?id=833): + svp64: generate code for integrating SVP64 concepts into binutils +* [Bug #834](https://bugs.libre-soc.org/show_bug.cgi?id=834): + binutils: support draft fsins/fcoss/ternlogi instructions + +## Completed but not yet paid + -## In progress +### NLNet.2019.10.Standards -- implement SVP64 element-width overrides -- convert spec pseudocode to use XLEN width +* [Bug #656](https://bugs.libre-soc.org/show_bug.cgi?id=656): + add v3\.0B BCD instructions to simulator + * €100 out of total of €200 +* [Bug #657](https://bugs.libre-soc.org/show_bug.cgi?id=657): + unit tests needed for BCD instructions + * €150 out of total of €300 +* [Bug #712](https://bugs.libre-soc.org/show_bug.cgi?id=712): + introduce XLEN\-friendly helper class which aggregates all helpers + * €325 out of total of €500 +* [Bug #723](https://bugs.libre-soc.org/show_bug.cgi?id=723): + implement standalone extsb/extsh/extsw tests + * €297.50 out of total of €350 -## Done not yet submitted +### NLNet.2019.10.Wishbone -## Submitted RPFs +* [Bug #660](https://bugs.libre-soc.org/show_bug.cgi?id=660): + "First developer steps" documentation page + * €125 out of total of €250 -- First Steps documentation page - - 5/9/21 - - EUR 100 - - 50:50 dmitry/maciej -- BCD instructions unit tests - - 5/9/21 - - EUR 150 - - 50:50 dmitry/maciej -- BCD instructions implementation - - 5/9/21 - - EUR 125 - - 50:50 dmitry/maciej - - ISACaller supporting XLEN - - EUR 500 shared between: - - EUR 100 [[lkcl]] - - EUR 325 dmitry - - EUR 75 maciej +## Submitted to NLNet but not yet paid -- implement standalone extsb/extsh/extsw tests - - EUR 297.5 dmitry - - EUR 52.5 maciej -## Done +### NLNet.2019.10.Formal -- not cherry-picking popcntw XLEN or cnttz XLEN -- bpermd XLEN update needs refinement +* [Bug #833](https://bugs.libre-soc.org/show_bug.cgi?id=833): + svp64: generate code for integrating SVP64 concepts into binutils + * submitted on 2022-05-13 + * €1000 which is the total amount +* [Bug #834](https://bugs.libre-soc.org/show_bug.cgi?id=834): + binutils: support draft fsins/fcoss/ternlogi instructions + * submitted on 2022-05-17 + * €500 out of total of €725 -- 2.30.2