From cc61eac16912c892c6cf87ae916f42ab8c6ea9c0 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Sat, 28 Jul 2018 10:57:16 +0100 Subject: [PATCH] whoops reverse flexbus in/out AD --- src/bsv/peripheral_gen/flexbus.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/bsv/peripheral_gen/flexbus.py b/src/bsv/peripheral_gen/flexbus.py index 82d67e4..3ab1f2b 100644 --- a/src/bsv/peripheral_gen/flexbus.py +++ b/src/bsv/peripheral_gen/flexbus.py @@ -50,8 +50,8 @@ class flexbus(PBase): ('bwe', 'm_BWEn'), ('tbst', 'm_TBSTn'), ('tsiz', 'm_TSIZ'), - ('ad_in', 'm_AD'), - ('ad_out', 'm_din'), + ('ad_out', 'm_AD'), + ('ad_in', 'm_din'), ('ad_en', 'm_OE32n'), ]: ret.append(template.format(ps, ptype, n, stype)) -- 2.30.2