X-Git-Url: https://git.libre-soc.org/?p=ieee754fpu.git;a=blobdiff_plain;f=src%2Fieee754%2Ffcvt%2Fint2float.py;h=fcf3e34cf3025b02995b76251d363834640b7deb;hp=232ab7fa00803ad6694b466e70de240146dd9160;hb=247604ef2e548361cacccc66a38ff4314fdebdbf;hpb=d45a2e1d34683cb75c2766613faaaa4eb3f3f485 diff --git a/src/ieee754/fcvt/int2float.py b/src/ieee754/fcvt/int2float.py index 232ab7fa..fcf3e34c 100644 --- a/src/ieee754/fcvt/int2float.py +++ b/src/ieee754/fcvt/int2float.py @@ -87,6 +87,10 @@ class FPCVTIntToFloatMod(PipeModBase): # smaller int to larger FP comb += z1.e.eq(msb.e_out) comb += z1.m[ms:].eq(msb.m_out[3:]) + + # XXX there is some weirdness involving the sign looping back + # see graphviz output + # http://bugs.libre-riscv.org/show_bug.cgi?id=135 comb += z1.s.eq(sign) comb += z1.create(sign, z1.e, z1.m) # ... here