fosdem2024_formal: add slides and diagrams
authorCesar Strauss <cestrauss@gmail.com>
Tue, 30 Jan 2024 22:25:03 +0000 (19:25 -0300)
committerCesar Strauss <cestrauss@gmail.com>
Wed, 31 Jan 2024 00:11:24 +0000 (21:11 -0300)
commitb2a917b33ec26d7178bdf4b85e518a6bbafc3d95
treea20ad7bcdf471b82144e40f04cfdb18899cb881b
parent9f6611446ce2784998e22ff81a94494eecdc21c3
fosdem2024_formal: add slides and diagrams

See Bug #1220:
An introduction to Formal Verification of Digital Circuits
conferences/fosdem2024/fosdem2024_formal/.gitignore [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/Makefile [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/enable.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/formal.md [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/states_complete.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/states_enable.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/states_input.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/states_one.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/states_output.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/states_verification.dia [new file with mode: 0644]
conferences/fosdem2024/fosdem2024_formal/test_enable.png [new file with mode: 0644]