Add Tercel PHY reset synchronization
[microwatt.git] / dmi_dtm_xilinx.vhdl
2021-01-07 Paul MackerrasMerge pull request #263 from antonblanchard/reset-pid
2021-01-07 Paul MackerrasMerge pull request #262 from antonblanchard/reset-tb...
2021-01-07 Paul MackerrasMerge pull request #259 from antonblanchard/dmi-reset
2020-12-15 Anton BlanchardReset JTAG/DMI
2020-06-13 Paul MackerrasMerge pull request #205 from ozbenh/timing
2020-06-13 Paul MackerrasMerge pull request #204 from ozbenh/spi
2020-06-12 Benjamin Herrenschmidtdmi: Add ASYNC_REG attribute on synchronizers (#200)
2019-09-30 Anton BlanchardMerge pull request #77 from antonblanchard/timing
2019-09-30 Benjamin HerrenschmidtDon't reset JTAG request register asynchronously
2019-09-24 Anton BlanchardMerge branch 'divider' of https://github.com/paulusmack...
2019-09-24 Anton BlanchardMerge pull request #69 from antonblanchard/debug-module
2019-09-20 Benjamin HerrenschmidtAdd a debug (DMI) bus and a JTAG interface to it on...