Add Tercel PHY reset synchronization
[microwatt.git] / register_file.vhdl
2020-09-17 Michael NeulingMerge pull request #245 from paulusmack/fpu
2020-09-03 Paul Mackerrascore: Add support for floating-point loads and stores
2020-08-07 Michael NeulingMerge pull request #229 from ozbenh/litedram
2020-07-22 Michael NeulingMerge pull request #233 from paulusmack/master
2020-07-14 Paul Mackerrascore: Don't generate logic for log data when LOG_LENGTH = 0
2020-06-30 Paul MackerrasMerge pull request #206 from Jbalkind/icachecleanup
2020-06-19 Michael NeulingMerge pull request #208 from paulusmack/faster
2020-06-13 Paul MackerrasAdd core logging
2020-06-13 Paul Mackerrasregister_file: Report value being written before assert...
2020-06-03 Paul MackerrasMerge pull request #168 from shenki/flash-arty
2020-05-19 Anton BlanchardMerge branch 'master' into litedram
2020-05-19 Anton BlanchardMerge pull request #176 from antonblanchard/console...
2020-05-19 Anton BlanchardMerge pull request #174 from antonblanchard/yosys-fixes
2020-05-18 Anton BlanchardMerge pull request #169 from paulusmack/mmu
2020-05-14 Paul MackerrasMerge branch 'mmu'
2020-05-08 Paul Mackerrasdebug: Provide a way to examine GPRs, fast SPRs and MSR
2020-01-11 Anton BlanchardMerge pull request #133 from antonblanchard/ghdl-synth
2020-01-11 Anton BlanchardFix ghdlsynth issue in register file
2020-01-11 Anton BlanchardMerge pull request #131 from antonblanchard/new-tests
2020-01-11 Anton BlanchardDump CTR, LR and CR on sim termination, and update...
2019-12-09 Anton BlanchardMerge pull request #122 from paulusmack/benh-sprs
2019-12-07 Benjamin Herrenschmidtsprs: Store common SPRs in register file
2019-10-12 Anton BlanchardMerge pull request #92 from paulusmack/divider
2019-10-12 Anton BlanchardMerge pull request #91 from tgingold/gpr-file-fix
2019-10-12 Tristan GingoldFix register file size (there are 32 gprs).
2019-10-10 Anton BlanchardMerge pull request #79 from deece/uart_address
2019-10-07 Anton BlanchardMerge pull request #78 from paulusmack/new-decode
2019-10-04 Anton BlanchardMerge pull request #80 from antonblanchard/misc
2019-10-03 Benjamin Herrenschmidtregister_file: Move GPRs into distributed RAM
2019-09-19 Anton BlanchardMerge pull request #65 from antonblanchard/loadstore-opt
2019-09-19 Anton BlanchardMerge pull request #64 from antonblanchard/reformat-3
2019-09-19 Anton BlanchardReformat register file
2019-09-09 Anton BlanchardMerge pull request #33 from antonblanchard/cr-fix
2019-09-09 Anton BlanchardMerge pull request #32 from antonblanchard/register...
2019-09-09 Anton BlanchardAdd forwarding in the register file
2019-09-09 Anton BlanchardMerge pull request #31 from antonblanchard/no-second...
2019-09-09 Anton BlanchardMore second write port removal
2019-09-09 Anton BlanchardMerge pull request #26 from antonblanchard/silence...
2019-09-09 Anton BlanchardMerge pull request #25 from antonblanchard/register_fil...
2019-09-09 Anton BlanchardClean up register read debug output
2019-08-22 Anton BlanchardInitial import of microwatt