Add Tercel PHY reset synchronization
[microwatt.git] / sim_jtag_socket_c.c
2020-01-22 Anton BlanchardMerge pull request #146 from antonblanchard/vhpi-cleanup
2020-01-22 Anton BlanchardConsolidate VHPI code
2019-10-10 Anton BlanchardMerge pull request #79 from deece/uart_address
2019-10-07 Anton BlanchardMerge pull request #78 from paulusmack/new-decode
2019-10-04 Anton BlanchardMerge pull request #80 from antonblanchard/misc
2019-10-01 Benjamin Herrenschmidtdebug/sim: Make connect/disconnect messages quieter
2019-09-24 Anton BlanchardMerge branch 'divider' of https://github.com/paulusmack...
2019-09-24 Anton BlanchardMerge pull request #69 from antonblanchard/debug-module
2019-09-20 Benjamin HerrenschmidtAdd jtag support in simulation via a socket