Add Tercel PHY reset synchronization
[microwatt.git] / sim-unisim /
drwxr-xr-x   ..
-rw-r--r-- 851 BSCANE2.vhdl
-rw-r--r-- 201 BUFG.vhdl
-rw-r--r-- 1110 unisim_vcomponents.vhdl