vendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVICE as appropriate.
authorwhitequark <whitequark@whitequark.org>
Wed, 26 Aug 2020 10:18:02 +0000 (10:18 +0000)
committerwhitequark <whitequark@whitequark.org>
Wed, 26 Aug 2020 15:45:58 +0000 (15:45 +0000)
commit6d9852506fb2880d1cca2bc2fec44c408eebb99f
tree27ad6bb13274c633c208835d9df99b0e657c2127
parentabaa9091f45d1c5801ec7162322bbc04706e3b43
vendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVICE as appropriate.

Fixes #438 (again).
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_ultrascale.py