remove write_ptp, add bitwidths.txt file
[pinmux.git] / src / parse.py
index 810a1c7bdd4fb78995a58e8359d74190f7adb6ae..6ab3dd094230c4a3ce66f34f8f0f8228da78342c 100644 (file)
@@ -1,4 +1,5 @@
 import math
+import os.path
 
 
 def missing_numbers(num_list):
@@ -12,56 +13,61 @@ class Parse(object):
     N_MUX = 1          # number of selection lines for the mux per io
     N_IO = 0
     N_MUX_IO = 0
-    Addressing = 'WORD'
-    ADDR_WIDTH = 32
-    DATA_WIDTH = 32
+    ADDR_WIDTH = 64  # TODO parameterise
+    PADDR_WIDTH = 32  # TODO parameterise
+    DATA_WIDTH = 64  # TODO parameterise
     # ================ #
 
-    # Generating the number of bits for memory map #
-    lower_offset = 0
-    if Addressing == 'BYTE':
-        lower_offset = 0
-    elif Addressing == 'HWORD':
-        lower_offset = 1
-    elif Addressing == 'WORD':
-        lower_offset = 2
-    elif Addressing == 'DWORD':
-        lower_offset = 3
-    else:
-        print('ERROR: Addressing should be one of: BYTE, HWORD, WORD, DWORD')
-        exit(1)
-
-    def __init__(self, verify=True):
+    def __init__(self, pth=None, verify=True):
 
-        # == capture the number of IO cells required == #
-        pinmapfile = open('pinmap.txt', 'r')
         max_io = 0
         self.muxed_cells = []
         self.dedicated_cells = []
         self.pinnumbers = []
-
-        for lineno, line in enumerate(pinmapfile):
-            line1 = line.split()
-            if len(line1) <= 1:
-                continue
-            self.pinnumbers.append(int(line1[0]))
-            if len(line1) == 2:  # dedicated
-                self.dedicated_cells.append(line1)
-            else:
+        self.bankwidths = {} 
+
+        fname = 'bankwidths.txt'
+        if pth:
+            fname = os.path.join(pth, fname)
+        with open(fname) as bankwidths:
+            for lineno, line in enumerate(bankwidths):
+                line1 = line[:-1].split('\t')
+                self.bankwidths[line1[0]] = int(line1[1])
+            
+        # == capture the number of IO cells required == #
+        fname = 'pinmap.txt'
+        if pth:
+            fname = os.path.join(pth, fname)
+        with open(fname) as pinmapfile:
+            for lineno, line in enumerate(pinmapfile):
+                line1 = line[:-1].split('\t')
+                if len(line1) <= 2:
+                    continue
+                self.pinnumbers.append(int(line1[0]))
+                # XXX TODO: dedicated pins in separate file
+                #if len(line1) == 2:  # dedicated
+                #    self.dedicated_cells.append(line1)
+                #else:
+                for i in range(1, len(line1)):
+                    # XXX HORRIBLE HACK!!
+                    if line1[i].startswith('pwm'):
+                        line1[i] = 'pwm%s_out' % line1[i][4:]
                 self.muxed_cells.append(line1)
 
         self.pinnumbers = sorted(self.pinnumbers)
-        self.upper_offset = self.lower_offset + \
-                            int(math.log(len(self.muxed_cells), 2))
 
         if verify:
             self.do_checks()
 
+        #self.cell_bitwidth = self.get_cell_bit_widths()
+
         # == user info after parsing ================= #
         self.N_IO = len(self.dedicated_cells) + len(self.muxed_cells)
         print("Max number of IO: " + str(self.N_IO))
+        #print("Muxer bit width: " + str(self.cell_bitwidth))
         print("Muxed IOs: " + str(len(self.muxed_cells)))
         print("Dedicated IOs: " + str(len(self.dedicated_cells)))
+        #sys.exit(0)
 
     def do_checks(self):
         """ Multiple checks to see if the user has not screwed up
@@ -96,6 +102,14 @@ class Parse(object):
 
         # TODO
 
+    def get_cell_bit_widths(self, banks):
+        max_num_cells = 0
+        for cell in self.muxed_cells:
+            print cell
+            max_num_cells = max(len(cell) - 1, max_num_cells)
+        return int(math.log(max_num_cells + 1, 2))
+
+
 if __name__ == '__main__':
     p = Parse()
-    print p.N_IO
+    print (p.N_IO)