missing semicolon added
[shakti-peripherals.git] / src / peripherals / mux / mux.bsv
index 39c48fa0fec85d6321f2949807e85cb6de67ac7d..d1acd93fc3c7002f192e548733da132a5481eae4 100644 (file)
@@ -37,7 +37,7 @@ package mux;
 //     (*synthesize*)
        module mkmux#(Bit#(TMul#(ionum_, 2)) defvalue)(MUX#(ionum_));
     let ionum=valueOf(ionum_);
-         Vector#(ionum_,ConfigReg#(Bit#(2))) muxer_reg                                 
+         Vector#(ionum_,ConfigReg#(Bit#(2))) muxer_reg ;
     for(Integer i=0;i<ionum;i=i+ 1)
       muxer_reg[i]<-mkConfigReg(defvalue[i*2+ 1:i*2]);