X-Git-Url: https://git.libre-soc.org/?p=shakti-peripherals.git;a=blobdiff_plain;f=src%2Fperipherals%2Frgbttl%2Frgbttl_dummy.bsv;h=80fa8c6fde57b25211737a7ce35c94fa3d86457e;hp=88fafda634c997a41742ec483a36dcb52cc3567c;hb=4373e4439eb49398350a0e77134eadf73dabb102;hpb=45fc9c713d39c82812169f9b799285f9a5b81a8f diff --git a/src/peripherals/rgbttl/rgbttl_dummy.bsv b/src/peripherals/rgbttl/rgbttl_dummy.bsv index 88fafda..80fa8c6 100644 --- a/src/peripherals/rgbttl/rgbttl_dummy.bsv +++ b/src/peripherals/rgbttl/rgbttl_dummy.bsv @@ -36,10 +36,10 @@ package rgbttl_dummy; import ConcatReg::*; import Semi_FIFOF::*; import BUtils ::*; - import AXI4_Lite_Types::*; + import AXI4_Types::*; interface Ifc_rgbttl_dummy; - interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave; + interface AXI4_Master_IFC#(`ADDR, `DATA, `USERSPACE) master; interface Get#(Bit#(1)) de; interface Get#(Bit#(1)) ck; interface Get#(Bit#(1)) vs; @@ -88,6 +88,6 @@ package rgbttl_dummy; endmethod endinterface; - interface slave=s_xactor.axi_side; + interface master=s_xactor.axi_side; endmodule endpackage