add always ready/enabled to get/put
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 30 Jul 2018 10:37:02 +0000 (11:37 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 30 Jul 2018 10:37:02 +0000 (11:37 +0100)
src/lib/ifc_sync.bsv

index bfdd8c3234ebceb9050b99b5cbd063f1f3fa7aef..c17920636609ceb7dd9bc3e8f3ce6c19fb09865b 100644 (file)
@@ -3,6 +3,7 @@ package ifc_sync;
   import Clocks::*;
   import GetPut::*;
 
+  (*always_ready,always_enabled*)
   interface Ifc_sync#(type a);
     interface Put#(a) put;
     interface Get#(a) get;