From 0128c8f8effb17f73ce277415573165cded44176 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Mon, 30 Jul 2018 11:37:02 +0100 Subject: [PATCH] add always ready/enabled to get/put --- src/lib/ifc_sync.bsv | 1 + 1 file changed, 1 insertion(+) diff --git a/src/lib/ifc_sync.bsv b/src/lib/ifc_sync.bsv index bfdd8c3..c179206 100644 --- a/src/lib/ifc_sync.bsv +++ b/src/lib/ifc_sync.bsv @@ -3,6 +3,7 @@ package ifc_sync; import Clocks::*; import GetPut::*; + (*always_ready,always_enabled*) interface Ifc_sync#(type a); interface Put#(a) put; interface Get#(a) get; -- 2.30.2