From 4373e4439eb49398350a0e77134eadf73dabb102 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Wed, 25 Jul 2018 10:15:14 +0100 Subject: [PATCH] convert rgbttl to axi master --- src/peripherals/rgbttl/rgbttl_dummy.bsv | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/src/peripherals/rgbttl/rgbttl_dummy.bsv b/src/peripherals/rgbttl/rgbttl_dummy.bsv index 88fafda..80fa8c6 100644 --- a/src/peripherals/rgbttl/rgbttl_dummy.bsv +++ b/src/peripherals/rgbttl/rgbttl_dummy.bsv @@ -36,10 +36,10 @@ package rgbttl_dummy; import ConcatReg::*; import Semi_FIFOF::*; import BUtils ::*; - import AXI4_Lite_Types::*; + import AXI4_Types::*; interface Ifc_rgbttl_dummy; - interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave; + interface AXI4_Master_IFC#(`ADDR, `DATA, `USERSPACE) master; interface Get#(Bit#(1)) de; interface Get#(Bit#(1)) ck; interface Get#(Bit#(1)) vs; @@ -88,6 +88,6 @@ package rgbttl_dummy; endmethod endinterface; - interface slave=s_xactor.axi_side; + interface master=s_xactor.axi_side; endmodule endpackage -- 2.30.2