From 761fca5edd49e10221140b6c419fe6a6f8b3e134 Mon Sep 17 00:00:00 2001 From: Neel Date: Fri, 3 Aug 2018 10:40:29 +0530 Subject: [PATCH] missing semicolon added --- src/peripherals/mux/mux.bsv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/peripherals/mux/mux.bsv b/src/peripherals/mux/mux.bsv index 39c48fa..d1acd93 100644 --- a/src/peripherals/mux/mux.bsv +++ b/src/peripherals/mux/mux.bsv @@ -37,7 +37,7 @@ package mux; // (*synthesize*) module mkmux#(Bit#(TMul#(ionum_, 2)) defvalue)(MUX#(ionum_)); let ionum=valueOf(ionum_); - Vector#(ionum_,ConfigReg#(Bit#(2))) muxer_reg + Vector#(ionum_,ConfigReg#(Bit#(2))) muxer_reg ; for(Integer i=0;i