Remove cloneTypes in favor of autoclonetype (#51)
[sifive-blocks.git] / src / main / scala / util / Timer.scala
index c46d2bef07ff68f6c677175be6f2b2b94ff07a5e..35e4a8341b8b3cc314a55870f3ad12d5118eca1e 100644 (file)
@@ -6,12 +6,10 @@ import Chisel.ImplicitConversions._
 import freechips.rocketchip.regmapper._
 import freechips.rocketchip.util.WideCounter
 
-class SlaveRegIF(w: Int) extends Bundle {
+class SlaveRegIF(private val w: Int) extends Bundle {
   val write = Valid(UInt(width = w)).flip
   val read = UInt(OUTPUT, w)
 
-  override def cloneType: this.type = new SlaveRegIF(w).asInstanceOf[this.type]
-
   def toRegField(dummy: Int = 0): RegField = {
     def writeFn(valid: Bool, data: UInt): Bool = {
       write.valid := valid