X-Git-Url: https://git.libre-soc.org/?p=soc.git;a=blobdiff_plain;f=src%2FTLB%2Fariane%2Ftest%2Ftest_tlb_content.py;h=145ded7d32797e4a4feec808384e9adb8509962f;hp=28960d134b8660e551447522c7a90f2058bb6f4e;hb=4abb2f093703ce46735ff6cc8928780ebcb72d27;hpb=3bc4518545705c5e3426d3cf338e26863d36f833 diff --git a/src/TLB/ariane/test/test_tlb_content.py b/src/TLB/ariane/test/test_tlb_content.py index 28960d13..145ded7d 100644 --- a/src/TLB/ariane/test/test_tlb_content.py +++ b/src/TLB/ariane/test/test_tlb_content.py @@ -17,26 +17,43 @@ def update(dut,a,t,g,m): yield yield -def check_hit(dut,hit,t): +def check_hit(dut,hit,pagesize): hit_d = yield dut.lu_hit_o assert_eq("hit", hit_d, hit) - t_d = yield dut.lu_is_512G_o - assert_eq("t", t_d, t) + + if(hit): + if(pagesize=="t"): + hitp = yield dut.lu_is_512G_o + assert_eq("lu_is_512G_o", hitp, 1) + elif(pagesize=="g"): + hitp = yield dut.lu_is_1G_o + assert_eq("lu_is_1G_o", hitp, 1) + elif(pagesize=="m"): + hitp = yield dut.lu_is_2M_o + assert_eq("lu_is_2M_o", hitp, 1) def addr(a,b,c,d): return a | b << 9 | c << 18 | d << 27 def tbench(dut): - yield dut.vpn0.eq(0xAA) - yield dut.vpn1.eq(0xBB) - yield dut.vpn2.eq(0xCC) + yield dut.vpn0.eq(0x0A) + yield dut.vpn1.eq(0x0B) + yield dut.vpn2.eq(0x0C) yield dut.vpn3.eq(0x0D) - yield from update(dut,addr(0x0A,0x0B,0x0C,0x0D),1,0,0) - yield from check_hit(dut,1,1) - yield from update(dut,addr(0x0A,0x0B,0x0C,0x0D),0,1,0) - yield from update(dut,addr(0x0A,0x0B,0x0C,0x0D),0,0,1) + yield from update(dut,addr(0xFF,0xFF,0xFF,0x0D),1,0,0) + yield from check_hit(dut,1,"t") + + yield from update(dut,addr(0xFF,0xFF,0x0C,0x0D),0,1,0) + yield from check_hit(dut,1,"g") + yield from update(dut,addr(0xFF,0x0B,0x0C,0x0D),0,0,1) + yield from check_hit(dut,1,"m") + yield from update(dut,addr(0x0A,0x0B,0x0C,0x0D),0,0,0) + yield from check_hit(dut,1,"") + + yield from update(dut,addr(0xAA,0xBB,0xCC,0xDD),0,0,0) + yield from check_hit(dut,0,"miss") if __name__ == "__main__":