add delay on branches
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 24 May 2019 13:00:00 +0000 (14:00 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 24 May 2019 13:00:00 +0000 (14:00 +0100)
commit5ff9317acf20b8066731fe928ceef88fb39a23ad
treebbc291f9e8d168b109206f48298470011994868e
parente04358d4f6969ae14cb703b7d393a4097f2203ac
add delay on branches
src/experiment/compalu.py