get fake branch delay time working
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 25 May 2019 22:21:14 +0000 (23:21 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 25 May 2019 22:21:14 +0000 (23:21 +0100)
commit7e3d314651634e031bd4b18c8482c7f5838dac1d
tree8340899f487356bbf1ed495a81219b066b49599a
parent30e05ffa23e7cea8a6eb32754efc2412b99a5ddb
get fake branch delay time working
src/experiment/score6600.py