begin experimenting with lib2to3 Node/Leaf additions
[sv2nmigen.git] / parse_sv.py
1 # %{
2 # /*
3 # * Copyright (c) 1998-2017 Stephen Williams (steve@icarus.com)
4 # * Copyright CERN 2012-2013 / Stephen Williams (steve@icarus.com)
5 # *
6 # * This source code is free software; you can redistribute it
7 # * and/or modify it in source code form under the terms of the GNU
8 # * General Public License as published by the Free Software
9 # * Foundation; either version 2 of the License, or (at your option)
10 # * any later version.
11 # *
12 # * This program is distributed in the hope that it will be useful,
13 # * but WITHOUT ANY WARRANTY; without even the implied warranty of
14 # * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 # * GNU General Public License for more details.
16 # *
17 # * You should have received a copy of the GNU General Public License
18 # * along with this program; if not, write to the Free Software
19 # * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
20 # */
21
22 from lib2to3.pytree import Node, Leaf
23 from lib2to3.pgen2 import token
24 from lib2to3.pygram import python_symbols as syms
25
26 from ply import yacc, lex
27
28 #from parse_tokens import tokens
29 import lexor
30 tokens = lexor.tokens # list(set(lexor.tokens).union(set(tokens)))
31 literals = lexor.literals
32
33 precedence = [\
34 ('right', 'K_PLUS_EQ', 'K_MINUS_EQ', 'K_MUL_EQ', 'K_DIV_EQ',
35 'K_MOD_EQ', 'K_AND_EQ', 'K_OR_EQ'),
36 ('right', 'K_XOR_EQ', 'K_LS_EQ', 'K_RS_EQ', 'K_RSS_EQ'),
37 ('right', '?', ':', 'K_inside'),
38 ('left', 'K_LOR'),
39 ('left', 'K_LAND'),
40 ('left', '|'),
41 ('left', '^', 'K_NXOR', 'K_NOR'),
42 ('left', '&', 'K_NAND'),
43 ('left', 'K_EQ', 'K_NE', 'K_CEQ', 'K_CNE', 'K_WEQ', 'K_WNE'),
44 ('left', 'K_GE', 'K_LE', '<', '>'),
45 ('left', 'K_LS', 'K_RS', 'K_RSS'),
46 ('left', '+', '-'),
47 ('left', '*', '/', '%'),
48 ('left', 'K_POW'),
49 ('left', 'UNARY_PREC'),
50 ('nonassoc', 'less_than_K_else'),
51 ('nonassoc', 'K_else'),
52 ('nonassoc', '('),
53 ('nonassoc', 'K_exclude'),
54 ('nonassoc', 'no_timeunits_declaration'),
55 ('nonassoc', 'one_timeunits_declaration'),
56 ('nonassoc', 'K_timeunit', 'K_timeprecision')
57 ]
58 ()
59 # -------------- RULES ----------------
60 ()
61 def p_source_text_1(p):
62 '''source_text : timeunits_declaration_opt _embed0_source_text description_list '''
63 print('source_text', list(p))
64 ()
65 def p_source_text_2(p):
66 '''source_text : '''
67 print('source_text', list(p))
68 ()
69 def p__embed0_source_text(p):
70 '''_embed0_source_text : '''
71 # { pform_set_scope_timescale(yyloc); }
72 ()
73 def p_assertion_item_1(p):
74 '''assertion_item : concurrent_assertion_item '''
75 print('assertion_item', list(p))
76 ()
77 def p_assignment_pattern_1(p):
78 '''assignment_pattern : K_LP expression_list_proper '}' '''
79 print('assignment_pattern', list(p))
80 # { PEAssignPattern*tmp = new PEAssignPattern(*$2);
81 # FILE_NAME(tmp, @1);
82 # delete $2;
83 # $$ = tmp;
84 # }
85 ()
86 def p_assignment_pattern_2(p):
87 '''assignment_pattern : K_LP '}' '''
88 print('assignment_pattern', list(p))
89 # { PEAssignPattern*tmp = new PEAssignPattern;
90 # FILE_NAME(tmp, @1);
91 # $$ = tmp;
92 # }
93 ()
94 def p_block_identifier_opt_1(p):
95 '''block_identifier_opt : IDENTIFIER ':' '''
96 print('block_identifier_opt', list(p))
97 ()
98 def p_block_identifier_opt_2(p):
99 '''block_identifier_opt : '''
100 print('block_identifier_opt', list(p))
101 ()
102 def p_class_declaration_1(p):
103 '''class_declaration : K_virtual_opt K_class lifetime_opt class_identifier class_declaration_extends_opt ';' _embed0_class_declaration class_items_opt K_endclass _embed1_class_declaration class_declaration_endlabel_opt '''
104 print('class_declaration', list(p))
105 # { // Wrap up the class.
106 # if ($11 && $4 && $4->name != $11) {
107 # yyerror(@11, "error: Class end label doesn't match class name.");
108 # delete[]$11;
109 # }
110 # }
111 ()
112 def p__embed0_class_declaration(p):
113 '''_embed0_class_declaration : '''
114 # { pform_start_class_declaration(@2, $4, $5.type, $5.exprs, $3); }
115 ()
116 def p__embed1_class_declaration(p):
117 '''_embed1_class_declaration : '''
118 # { // Process a class.
119 # pform_end_class_declaration(@9);
120 # }
121 ()
122 def p_class_constraint_1(p):
123 '''class_constraint : constraint_prototype '''
124 print('class_constraint', list(p))
125 ()
126 def p_class_constraint_2(p):
127 '''class_constraint : constraint_declaration '''
128 print('class_constraint', list(p))
129 ()
130 def p_class_identifier_1(p):
131 '''class_identifier : IDENTIFIER '''
132 print('class_identifier', list(p))
133 # { // Create a synthetic typedef for the class name so that the
134 # // lexor detects the name as a type.
135 # perm_string name = lex_strings.make($1);
136 # class_type_t*tmp = new class_type_t(name);
137 # FILE_NAME(tmp, @1);
138 # pform_set_typedef(name, tmp, NULL);
139 # delete[]$1;
140 # $$ = tmp;
141 # }
142 ()
143 def p_class_identifier_2(p):
144 '''class_identifier : TYPE_IDENTIFIER '''
145 print('class_identifier', list(p))
146 # { class_type_t*tmp = dynamic_cast<class_type_t*>($1.type);
147 # if (tmp == 0) {
148 # yyerror(@1, "Type name \"%s\"is not a predeclared class name.", $1.text);
149 # }
150 # delete[]$1.text;
151 # $$ = tmp;
152 # }
153 ()
154 def p_class_declaration_endlabel_opt_1(p):
155 '''class_declaration_endlabel_opt : ':' TYPE_IDENTIFIER '''
156 print('class_declaration_endlabel_opt', list(p))
157 # { class_type_t*tmp = dynamic_cast<class_type_t*> ($2.type);
158 # if (tmp == 0) {
159 # yyerror(@2, "error: class declaration endlabel \"%s\" is not a class name\n", $2.text);
160 # $$ = 0;
161 # } else {
162 # $$ = strdupnew(tmp->name.str());
163 # }
164 # delete[]$2.text;
165 # }
166 ()
167 def p_class_declaration_endlabel_opt_2(p):
168 '''class_declaration_endlabel_opt : ':' IDENTIFIER '''
169 print('class_declaration_endlabel_opt', list(p))
170 # { $$ = $2; }
171 ()
172 def p_class_declaration_endlabel_opt_3(p):
173 '''class_declaration_endlabel_opt : '''
174 print('class_declaration_endlabel_opt', list(p))
175 # { $$ = 0; }
176 ()
177 def p_class_declaration_extends_opt_1(p):
178 '''class_declaration_extends_opt : K_extends TYPE_IDENTIFIER '''
179 print('class_declaration_extends_opt', list(p))
180 # { $$.type = $2.type;
181 # $$.exprs= 0;
182 # delete[]$2.text;
183 # }
184 ()
185 def p_class_declaration_extends_opt_2(p):
186 '''class_declaration_extends_opt : K_extends TYPE_IDENTIFIER '(' expression_list_with_nuls ')' '''
187 print('class_declaration_extends_opt', list(p))
188 # { $$.type = $2.type;
189 # $$.exprs = $4;
190 # delete[]$2.text;
191 # }
192 ()
193 def p_class_declaration_extends_opt_3(p):
194 '''class_declaration_extends_opt : '''
195 print('class_declaration_extends_opt', list(p))
196 # { $$.type = 0; $$.exprs = 0; }
197 ()
198 def p_class_items_opt_1(p):
199 '''class_items_opt : class_items '''
200 print('class_items_opt', list(p))
201 ()
202 def p_class_items_opt_2(p):
203 '''class_items_opt : '''
204 print('class_items_opt', list(p))
205 ()
206 def p_class_items_1(p):
207 '''class_items : class_items class_item '''
208 print('class_items', list(p))
209 ()
210 def p_class_items_2(p):
211 '''class_items : class_item '''
212 print('class_items', list(p))
213 ()
214 def p_class_item_1(p):
215 '''class_item : method_qualifier_opt K_function K_new _embed0_class_item '(' tf_port_list_opt ')' ';' function_item_list_opt statement_or_null_list_opt K_endfunction endnew_opt '''
216 print('class_item', list(p))
217 # { current_function->set_ports($6);
218 # pform_set_constructor_return(current_function);
219 # pform_set_this_class(@3, current_function);
220 # current_function_set_statement(@3, $10);
221 # pform_pop_scope();
222 # current_function = 0;
223 # }
224 ()
225 def p_class_item_2(p):
226 '''class_item : property_qualifier_opt data_type list_of_variable_decl_assignments ';' '''
227 print('class_item', list(p))
228 # { pform_class_property(@2, $1, $2, $3); }
229 ()
230 def p_class_item_3(p):
231 '''class_item : K_const class_item_qualifier_opt data_type list_of_variable_decl_assignments ';' '''
232 print('class_item', list(p))
233 # { pform_class_property(@1, $2 | property_qualifier_t::make_const(), $3, $4); }
234 ()
235 def p_class_item_4(p):
236 '''class_item : method_qualifier_opt task_declaration '''
237 print('class_item', list(p))
238 # { /* The task_declaration rule puts this into the class */ }
239 ()
240 def p_class_item_5(p):
241 '''class_item : method_qualifier_opt function_declaration '''
242 print('class_item', list(p))
243 # { /* The function_declaration rule puts this into the class */ }
244 ()
245 def p_class_item_6(p):
246 '''class_item : K_extern method_qualifier_opt K_function K_new ';' '''
247 print('class_item', list(p))
248 # { yyerror(@1, "sorry: External constructors are not yet supported."); }
249 ()
250 def p_class_item_7(p):
251 '''class_item : K_extern method_qualifier_opt K_function K_new '(' tf_port_list_opt ')' ';' '''
252 print('class_item', list(p))
253 # { yyerror(@1, "sorry: External constructors are not yet supported."); }
254 ()
255 def p_class_item_8(p):
256 '''class_item : K_extern method_qualifier_opt K_function data_type_or_implicit_or_void IDENTIFIER ';' '''
257 print('class_item', list(p))
258 # { yyerror(@1, "sorry: External methods are not yet supported.");
259 # delete[] $5;
260 # }
261 ()
262 def p_class_item_9(p):
263 '''class_item : K_extern method_qualifier_opt K_function data_type_or_implicit_or_void IDENTIFIER '(' tf_port_list_opt ')' ';' '''
264 print('class_item', list(p))
265 # { yyerror(@1, "sorry: External methods are not yet supported.");
266 # delete[] $5;
267 # }
268 ()
269 def p_class_item_10(p):
270 '''class_item : K_extern method_qualifier_opt K_task IDENTIFIER ';' '''
271 print('class_item', list(p))
272 # { yyerror(@1, "sorry: External methods are not yet supported.");
273 # delete[] $4;
274 # }
275 ()
276 def p_class_item_11(p):
277 '''class_item : K_extern method_qualifier_opt K_task IDENTIFIER '(' tf_port_list_opt ')' ';' '''
278 print('class_item', list(p))
279 # { yyerror(@1, "sorry: External methods are not yet supported.");
280 # delete[] $4;
281 # }
282 ()
283 def p_class_item_12(p):
284 '''class_item : class_constraint '''
285 print('class_item', list(p))
286 ()
287 def p_class_item_13(p):
288 '''class_item : property_qualifier_opt data_type error ';' '''
289 print('class_item', list(p))
290 # { yyerror(@3, "error: Errors in variable names after data type.");
291 # yyerrok;
292 # }
293 ()
294 def p_class_item_14(p):
295 '''class_item : property_qualifier_opt IDENTIFIER error ';' '''
296 print('class_item', list(p))
297 # { yyerror(@3, "error: %s doesn't name a type.", $2);
298 # yyerrok;
299 # }
300 ()
301 def p_class_item_15(p):
302 '''class_item : method_qualifier_opt K_function K_new error K_endfunction endnew_opt '''
303 print('class_item', list(p))
304 # { yyerror(@1, "error: I give up on this class constructor declaration.");
305 # yyerrok;
306 # }
307 ()
308 def p_class_item_16(p):
309 '''class_item : error ';' '''
310 print('class_item', list(p))
311 # { yyerror(@2, "error: invalid class item.");
312 # yyerrok;
313 # }
314 ()
315 def p__embed0_class_item(p):
316 '''_embed0_class_item : '''
317 # { assert(current_function==0);
318 # current_function = pform_push_constructor_scope(@3);
319 # }
320 ()
321 def p_class_item_qualifier_1(p):
322 '''class_item_qualifier : K_static '''
323 print('class_item_qualifier', list(p))
324 # { $$ = property_qualifier_t::make_static(); }
325 ()
326 def p_class_item_qualifier_2(p):
327 '''class_item_qualifier : K_protected '''
328 print('class_item_qualifier', list(p))
329 # { $$ = property_qualifier_t::make_protected(); }
330 ()
331 def p_class_item_qualifier_3(p):
332 '''class_item_qualifier : K_local '''
333 print('class_item_qualifier', list(p))
334 # { $$ = property_qualifier_t::make_local(); }
335 ()
336 def p_class_item_qualifier_list_1(p):
337 '''class_item_qualifier_list : class_item_qualifier_list class_item_qualifier '''
338 print('class_item_qualifier_list', list(p))
339 # { $$ = $1 | $2; }
340 ()
341 def p_class_item_qualifier_list_2(p):
342 '''class_item_qualifier_list : class_item_qualifier '''
343 print('class_item_qualifier_list', list(p))
344 # { $$ = $1; }
345 ()
346 def p_class_item_qualifier_opt_1(p):
347 '''class_item_qualifier_opt : class_item_qualifier_list '''
348 print('class_item_qualifier_opt', list(p))
349 # { $$ = $1; }
350 ()
351 def p_class_item_qualifier_opt_2(p):
352 '''class_item_qualifier_opt : '''
353 print('class_item_qualifier_opt', list(p))
354 # { $$ = property_qualifier_t::make_none(); }
355 ()
356 def p_class_new_1(p):
357 '''class_new : K_new '(' expression_list_with_nuls ')' '''
358 print('class_new', list(p))
359 # { list<PExpr*>*expr_list = $3;
360 # strip_tail_items(expr_list);
361 # PENewClass*tmp = new PENewClass(*expr_list);
362 # FILE_NAME(tmp, @1);
363 # delete $3;
364 # $$ = tmp;
365 # }
366 ()
367 def p_class_new_2(p):
368 '''class_new : K_new hierarchy_identifier '''
369 print('class_new', list(p))
370 # { PEIdent*tmpi = new PEIdent(*$2);
371 # FILE_NAME(tmpi, @2);
372 # PENewCopy*tmp = new PENewCopy(tmpi);
373 # FILE_NAME(tmp, @1);
374 # delete $2;
375 # $$ = tmp;
376 # }
377 ()
378 def p_class_new_3(p):
379 '''class_new : K_new '''
380 print('class_new', list(p))
381 # { PENewClass*tmp = new PENewClass;
382 # FILE_NAME(tmp, @1);
383 # $$ = tmp;
384 # }
385 ()
386 def p_concurrent_assertion_item_1(p):
387 '''concurrent_assertion_item : block_identifier_opt K_assert K_property '(' property_spec ')' statement_or_null '''
388 print('concurrent_assertion_item', list(p))
389 # { /* */
390 # if (gn_assertions_flag) {
391 # yyerror(@2, "sorry: concurrent_assertion_item not supported."
392 # " Try -gno-assertion to turn this message off.");
393 # }
394 # }
395 ()
396 def p_concurrent_assertion_item_2(p):
397 '''concurrent_assertion_item : block_identifier_opt K_assert K_property '(' error ')' statement_or_null '''
398 print('concurrent_assertion_item', list(p))
399 # { yyerrok;
400 # yyerror(@2, "error: Error in property_spec of concurrent assertion item.");
401 # }
402 ()
403 def p_constraint_block_item_1(p):
404 '''constraint_block_item : constraint_expression '''
405 print('constraint_block_item', list(p))
406 ()
407 def p_constraint_block_item_list_1(p):
408 '''constraint_block_item_list : constraint_block_item_list constraint_block_item '''
409 print('constraint_block_item_list', list(p))
410 ()
411 def p_constraint_block_item_list_2(p):
412 '''constraint_block_item_list : constraint_block_item '''
413 print('constraint_block_item_list', list(p))
414 ()
415 def p_constraint_block_item_list_opt_1(p):
416 '''constraint_block_item_list_opt : '''
417 print('constraint_block_item_list_opt', list(p))
418 ()
419 def p_constraint_block_item_list_opt_2(p):
420 '''constraint_block_item_list_opt : constraint_block_item_list '''
421 print('constraint_block_item_list_opt', list(p))
422 ()
423 def p_constraint_declaration_1(p):
424 '''constraint_declaration : K_static_opt K_constraint IDENTIFIER '{' constraint_block_item_list_opt '}' '''
425 print('constraint_declaration', list(p))
426 # { yyerror(@2, "sorry: Constraint declarations not supported."); }
427 ()
428 def p_constraint_declaration_2(p):
429 '''constraint_declaration : K_static_opt K_constraint IDENTIFIER '{' error '}' '''
430 print('constraint_declaration', list(p))
431 # { yyerror(@4, "error: Errors in the constraint block item list."); }
432 ()
433 def p_constraint_expression_1(p):
434 '''constraint_expression : expression ';' '''
435 print('constraint_expression', list(p))
436 ()
437 def p_constraint_expression_2(p):
438 '''constraint_expression : expression K_dist '{' '}' ';' '''
439 print('constraint_expression', list(p))
440 ()
441 def p_constraint_expression_3(p):
442 '''constraint_expression : expression K_TRIGGER constraint_set '''
443 print('constraint_expression', list(p))
444 ()
445 def p_constraint_expression_4(p):
446 '''constraint_expression : K_if '(' expression ')' constraint_set %prec less_than_K_else '''
447 print('constraint_expression', list(p))
448 ()
449 def p_constraint_expression_5(p):
450 '''constraint_expression : K_if '(' expression ')' constraint_set K_else constraint_set '''
451 print('constraint_expression', list(p))
452 ()
453 def p_constraint_expression_6(p):
454 '''constraint_expression : K_foreach '(' IDENTIFIER '[' loop_variables ']' ')' constraint_set '''
455 print('constraint_expression', list(p))
456 ()
457 def p_constraint_expression_list_1(p):
458 '''constraint_expression_list : constraint_expression_list constraint_expression '''
459 print('constraint_expression_list', list(p))
460 ()
461 def p_constraint_expression_list_2(p):
462 '''constraint_expression_list : constraint_expression '''
463 print('constraint_expression_list', list(p))
464 ()
465 def p_constraint_prototype_1(p):
466 '''constraint_prototype : K_static_opt K_constraint IDENTIFIER ';' '''
467 print('constraint_prototype', list(p))
468 # { yyerror(@2, "sorry: Constraint prototypes not supported."); }
469 ()
470 def p_constraint_set_1(p):
471 '''constraint_set : constraint_expression '''
472 print('constraint_set', list(p))
473 ()
474 def p_constraint_set_2(p):
475 '''constraint_set : '{' constraint_expression_list '}' '''
476 print('constraint_set', list(p))
477 ()
478 def p_data_declaration_1(p):
479 '''data_declaration : attribute_list_opt data_type_or_implicit list_of_variable_decl_assignments ';' '''
480 print('data_declaration', list(p))
481 # { data_type_t*data_type = $2;
482 # if (data_type == 0) {
483 # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
484 # FILE_NAME(data_type, @2);
485 # }
486 # pform_makewire(@2, 0, str_strength, $3, NetNet::IMPLICIT_REG, data_type);
487 # }
488 ()
489 def p_data_type_1(p):
490 '''data_type : integer_vector_type unsigned_signed_opt dimensions_opt '''
491 print('data_type', list(p))
492 # { ivl_variable_type_t use_vtype = $1;
493 # bool reg_flag = false;
494 # if (use_vtype == IVL_VT_NO_TYPE) {
495 # use_vtype = IVL_VT_LOGIC;
496 # reg_flag = true;
497 # }
498 # vector_type_t*tmp = new vector_type_t(use_vtype, $2, $3);
499 # tmp->reg_flag = reg_flag;
500 # FILE_NAME(tmp, @1);
501 # $$ = tmp;
502 # }
503 ()
504 def p_data_type_2(p):
505 '''data_type : non_integer_type '''
506 print('data_type', list(p))
507 # { real_type_t*tmp = new real_type_t($1);
508 # FILE_NAME(tmp, @1);
509 # $$ = tmp;
510 # }
511 ()
512 def p_data_type_3(p):
513 '''data_type : struct_data_type '''
514 print('data_type', list(p))
515 # { if (!$1->packed_flag) {
516 # yyerror(@1, "sorry: Unpacked structs not supported.");
517 # }
518 # $$ = $1;
519 # }
520 ()
521 def p_data_type_4(p):
522 '''data_type : enum_data_type '''
523 print('data_type', list(p))
524 # { $$ = $1; }
525 ()
526 def p_data_type_5(p):
527 '''data_type : atom2_type signed_unsigned_opt '''
528 print('data_type', list(p))
529 # { atom2_type_t*tmp = new atom2_type_t($1, $2);
530 # FILE_NAME(tmp, @1);
531 # $$ = tmp;
532 # }
533 ()
534 def p_data_type_6(p):
535 '''data_type : K_integer signed_unsigned_opt '''
536 print('data_type', list(p))
537 # { list<pform_range_t>*pd = make_range_from_width(integer_width);
538 # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, $2, pd);
539 # tmp->reg_flag = true;
540 # tmp->integer_flag = true;
541 # $$ = tmp;
542 # }
543 ()
544 def p_data_type_7(p):
545 '''data_type : K_time '''
546 print('data_type', list(p))
547 # { list<pform_range_t>*pd = make_range_from_width(64);
548 # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd);
549 # tmp->reg_flag = !gn_system_verilog();
550 # $$ = tmp;
551 # }
552 ()
553 def p_data_type_8(p):
554 '''data_type : TYPE_IDENTIFIER dimensions_opt '''
555 print('data_type', list(p))
556 # { if ($2) {
557 # parray_type_t*tmp = new parray_type_t($1.type, $2);
558 # FILE_NAME(tmp, @1);
559 # $$ = tmp;
560 # } else $$ = $1.type;
561 # delete[]$1.text;
562 # }
563 ()
564 def p_data_type_9(p):
565 '''data_type : PACKAGE_IDENTIFIER K_SCOPE_RES _embed0_data_type TYPE_IDENTIFIER '''
566 print('data_type', list(p))
567 # { lex_in_package_scope(0);
568 # $$ = $4.type;
569 # delete[]$4.text;
570 # }
571 ()
572 def p_data_type_10(p):
573 '''data_type : K_string '''
574 print('data_type', list(p))
575 # { string_type_t*tmp = new string_type_t;
576 # FILE_NAME(tmp, @1);
577 # $$ = tmp;
578 # }
579 ()
580 def p__embed0_data_type(p):
581 '''_embed0_data_type : '''
582 # { lex_in_package_scope($1); }
583 ()
584 def p_data_type_or_implicit_1(p):
585 '''data_type_or_implicit : data_type '''
586 print('data_type_or_implicit', list(p))
587 # { $$ = $1; }
588 ()
589 def p_data_type_or_implicit_2(p):
590 '''data_type_or_implicit : signing dimensions_opt '''
591 print('data_type_or_implicit', list(p))
592 # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, $1, $2);
593 # tmp->implicit_flag = true;
594 # FILE_NAME(tmp, @1);
595 # $$ = tmp;
596 # }
597 ()
598 def p_data_type_or_implicit_3(p):
599 '''data_type_or_implicit : dimensions '''
600 print('data_type_or_implicit', list(p))
601 # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, $1);
602 # tmp->implicit_flag = true;
603 # FILE_NAME(tmp, @1);
604 # $$ = tmp;
605 # }
606 ()
607 def p_data_type_or_implicit_4(p):
608 '''data_type_or_implicit : '''
609 print('data_type_or_implicit', list(p))
610 # { $$ = 0; }
611 ()
612 def p_data_type_or_implicit_or_void_1(p):
613 '''data_type_or_implicit_or_void : data_type_or_implicit '''
614 print('data_type_or_implicit_or_void', list(p))
615 # { $$ = $1; }
616 ()
617 def p_data_type_or_implicit_or_void_2(p):
618 '''data_type_or_implicit_or_void : K_void '''
619 print('data_type_or_implicit_or_void', list(p))
620 # { void_type_t*tmp = new void_type_t;
621 # FILE_NAME(tmp, @1);
622 # $$ = tmp;
623 # }
624 ()
625 def p_description_1(p):
626 '''description : module '''
627 print('description', list(p))
628 ()
629 def p_description_2(p):
630 '''description : udp_primitive '''
631 print('description', list(p))
632 ()
633 def p_description_3(p):
634 '''description : config_declaration '''
635 print('description', list(p))
636 ()
637 def p_description_4(p):
638 '''description : nature_declaration '''
639 print('description', list(p))
640 ()
641 def p_description_5(p):
642 '''description : package_declaration '''
643 print('description', list(p))
644 ()
645 def p_description_6(p):
646 '''description : discipline_declaration '''
647 print('description', list(p))
648 ()
649 def p_description_7(p):
650 '''description : package_item '''
651 print('description', list(p))
652 ()
653 def p_description_8(p):
654 '''description : KK_attribute '(' IDENTIFIER ',' STRING ',' STRING ')' '''
655 print('description', list(p))
656 # { perm_string tmp3 = lex_strings.make($3);
657 # pform_set_type_attrib(tmp3, $5, $7);
658 # delete[] $3;
659 # delete[] $5;
660 # }
661 ()
662 def p_description_list_1(p):
663 '''description_list : description '''
664 print('description_list', list(p))
665 ()
666 def p_description_list_2(p):
667 '''description_list : description_list description '''
668 print('description_list', list(p))
669 ()
670 def p_endnew_opt_1(p):
671 '''endnew_opt : ':' K_new '''
672 print('endnew_opt', list(p))
673 ()
674 def p_endnew_opt_2(p):
675 '''endnew_opt : '''
676 print('endnew_opt', list(p))
677 ()
678 def p_dynamic_array_new_1(p):
679 '''dynamic_array_new : K_new '[' expression ']' '''
680 print('dynamic_array_new', list(p))
681 # { $$ = new PENewArray($3, 0);
682 # FILE_NAME($$, @1);
683 # }
684 ()
685 def p_dynamic_array_new_2(p):
686 '''dynamic_array_new : K_new '[' expression ']' '(' expression ')' '''
687 print('dynamic_array_new', list(p))
688 # { $$ = new PENewArray($3, $6);
689 # FILE_NAME($$, @1);
690 # }
691 ()
692 def p_for_step_1(p):
693 '''for_step : lpvalue '=' expression '''
694 print('for_step', list(p))
695 # { PAssign*tmp = new PAssign($1,$3);
696 # FILE_NAME(tmp, @1);
697 # $$ = tmp;
698 # }
699 ()
700 def p_for_step_2(p):
701 '''for_step : inc_or_dec_expression '''
702 print('for_step', list(p))
703 # { $$ = pform_compressed_assign_from_inc_dec(@1, $1); }
704 ()
705 def p_for_step_3(p):
706 '''for_step : compressed_statement '''
707 print('for_step', list(p))
708 # { $$ = $1; }
709 ()
710 def p_function_declaration_1(p):
711 '''function_declaration : K_function lifetime_opt data_type_or_implicit_or_void IDENTIFIER ';' _embed0_function_declaration function_item_list statement_or_null_list_opt K_endfunction _embed1_function_declaration endlabel_opt '''
712 print('function_declaration', list(p))
713 # { // Last step: check any closing name.
714 # if ($11) {
715 # if (strcmp($4,$11) != 0) {
716 # yyerror(@11, "error: End label doesn't match "
717 # "function name");
718 # }
719 # if (! gn_system_verilog()) {
720 # yyerror(@11, "error: Function end labels require "
721 # "SystemVerilog.");
722 # }
723 # delete[]$11;
724 # }
725 # delete[]$4;
726 # }
727 ()
728 def p_function_declaration_2(p):
729 '''function_declaration : K_function lifetime_opt data_type_or_implicit_or_void IDENTIFIER _embed2_function_declaration '(' tf_port_list_opt ')' ';' block_item_decls_opt statement_or_null_list_opt K_endfunction _embed3_function_declaration endlabel_opt '''
730 print('function_declaration', list(p))
731 # { // Last step: check any closing name.
732 # if ($14) {
733 # if (strcmp($4,$14) != 0) {
734 # yyerror(@14, "error: End label doesn't match "
735 # "function name");
736 # }
737 # if (! gn_system_verilog()) {
738 # yyerror(@14, "error: Function end labels require "
739 # "SystemVerilog.");
740 # }
741 # delete[]$14;
742 # }
743 # delete[]$4;
744 # }
745 ()
746 def p_function_declaration_3(p):
747 '''function_declaration : K_function lifetime_opt data_type_or_implicit_or_void IDENTIFIER error K_endfunction _embed4_function_declaration endlabel_opt '''
748 print('function_declaration', list(p))
749 # { // Last step: check any closing name.
750 # if ($8) {
751 # if (strcmp($4,$8) != 0) {
752 # yyerror(@8, "error: End label doesn't match function name");
753 # }
754 # if (! gn_system_verilog()) {
755 # yyerror(@8, "error: Function end labels require "
756 # "SystemVerilog.");
757 # }
758 # delete[]$8;
759 # }
760 # delete[]$4;
761 # }
762 ()
763 def p__embed0_function_declaration(p):
764 '''_embed0_function_declaration : '''
765 # { assert(current_function == 0);
766 # current_function = pform_push_function_scope(@1, $4, $2);
767 # }
768 ()
769 def p__embed1_function_declaration(p):
770 '''_embed1_function_declaration : '''
771 # { current_function->set_ports($7);
772 # current_function->set_return($3);
773 # current_function_set_statement($8? @8 : @4, $8);
774 # pform_set_this_class(@4, current_function);
775 # pform_pop_scope();
776 # current_function = 0;
777 # }
778 ()
779 def p__embed2_function_declaration(p):
780 '''_embed2_function_declaration : '''
781 # { assert(current_function == 0);
782 # current_function = pform_push_function_scope(@1, $4, $2);
783 # }
784 ()
785 def p__embed3_function_declaration(p):
786 '''_embed3_function_declaration : '''
787 # { current_function->set_ports($7);
788 # current_function->set_return($3);
789 # current_function_set_statement($11? @11 : @4, $11);
790 # pform_set_this_class(@4, current_function);
791 # pform_pop_scope();
792 # current_function = 0;
793 # if ($7==0 && !gn_system_verilog()) {
794 # yyerror(@4, "error: Empty parenthesis syntax requires SystemVerilog.");
795 # }
796 # }
797 ()
798 def p__embed4_function_declaration(p):
799 '''_embed4_function_declaration : '''
800 # { /* */
801 # if (current_function) {
802 # pform_pop_scope();
803 # current_function = 0;
804 # }
805 # assert(current_function == 0);
806 # yyerror(@1, "error: Syntax error defining function.");
807 # yyerrok;
808 # }
809 ()
810 def p_import_export_1(p):
811 '''import_export : K_import '''
812 print('import_export', list(p))
813 # { $$ = true; }
814 ()
815 def p_import_export_2(p):
816 '''import_export : K_export '''
817 print('import_export', list(p))
818 # { $$ = false; }
819 ()
820 def p_implicit_class_handle_1(p):
821 '''implicit_class_handle : K_this '''
822 print('implicit_class_handle', list(p))
823 # { $$ = pform_create_this(); }
824 ()
825 def p_implicit_class_handle_2(p):
826 '''implicit_class_handle : K_super '''
827 print('implicit_class_handle', list(p))
828 # { $$ = pform_create_super(); }
829 ()
830 def p_inc_or_dec_expression_1(p):
831 '''inc_or_dec_expression : K_INCR lpvalue %prec UNARY_PREC '''
832 print('inc_or_dec_expression', list(p))
833 # { PEUnary*tmp = new PEUnary('I', $2);
834 # FILE_NAME(tmp, @2);
835 # $$ = tmp;
836 # }
837 ()
838 def p_inc_or_dec_expression_2(p):
839 '''inc_or_dec_expression : lpvalue K_INCR %prec UNARY_PREC '''
840 print('inc_or_dec_expression', list(p))
841 # { PEUnary*tmp = new PEUnary('i', $1);
842 # FILE_NAME(tmp, @1);
843 # $$ = tmp;
844 # }
845 ()
846 def p_inc_or_dec_expression_3(p):
847 '''inc_or_dec_expression : K_DECR lpvalue %prec UNARY_PREC '''
848 print('inc_or_dec_expression', list(p))
849 # { PEUnary*tmp = new PEUnary('D', $2);
850 # FILE_NAME(tmp, @2);
851 # $$ = tmp;
852 # }
853 ()
854 def p_inc_or_dec_expression_4(p):
855 '''inc_or_dec_expression : lpvalue K_DECR %prec UNARY_PREC '''
856 print('inc_or_dec_expression', list(p))
857 # { PEUnary*tmp = new PEUnary('d', $1);
858 # FILE_NAME(tmp, @1);
859 # $$ = tmp;
860 # }
861 ()
862 def p_inside_expression_1(p):
863 '''inside_expression : expression K_inside '{' open_range_list '}' '''
864 print('inside_expression', list(p))
865 # { yyerror(@2, "sorry: \"inside\" expressions not supported yet.");
866 # $$ = 0;
867 # }
868 ()
869 def p_integer_vector_type_1(p):
870 '''integer_vector_type : K_reg '''
871 print('integer_vector_type', list(p))
872 # { $$ = IVL_VT_NO_TYPE; }
873 ()
874 def p_integer_vector_type_2(p):
875 '''integer_vector_type : K_bit '''
876 print('integer_vector_type', list(p))
877 # { $$ = IVL_VT_BOOL; }
878 ()
879 def p_integer_vector_type_3(p):
880 '''integer_vector_type : K_logic '''
881 print('integer_vector_type', list(p))
882 # { $$ = IVL_VT_LOGIC; }
883 ()
884 def p_integer_vector_type_4(p):
885 '''integer_vector_type : K_bool '''
886 print('integer_vector_type', list(p))
887 # { $$ = IVL_VT_BOOL; }
888 ()
889 def p_join_keyword_1(p):
890 '''join_keyword : K_join '''
891 print('join_keyword', list(p))
892 # { $$ = PBlock::BL_PAR; }
893 ()
894 def p_join_keyword_2(p):
895 '''join_keyword : K_join_none '''
896 print('join_keyword', list(p))
897 # { $$ = PBlock::BL_JOIN_NONE; }
898 ()
899 def p_join_keyword_3(p):
900 '''join_keyword : K_join_any '''
901 print('join_keyword', list(p))
902 # { $$ = PBlock::BL_JOIN_ANY; }
903 ()
904 def p_jump_statement_1(p):
905 '''jump_statement : K_break ';' '''
906 print('jump_statement', list(p))
907 # { yyerror(@1, "sorry: break statements not supported.");
908 # $$ = 0;
909 # }
910 ()
911 def p_jump_statement_2(p):
912 '''jump_statement : K_return ';' '''
913 print('jump_statement', list(p))
914 # { PReturn*tmp = new PReturn(0);
915 # FILE_NAME(tmp, @1);
916 # $$ = tmp;
917 # }
918 ()
919 def p_jump_statement_3(p):
920 '''jump_statement : K_return expression ';' '''
921 print('jump_statement', list(p))
922 # { PReturn*tmp = new PReturn($2);
923 # FILE_NAME(tmp, @1);
924 # $$ = tmp;
925 # }
926 ()
927 def p_lifetime_1(p):
928 '''lifetime : K_automatic '''
929 print('lifetime', list(p))
930 # { $$ = LexicalScope::AUTOMATIC; }
931 ()
932 def p_lifetime_2(p):
933 '''lifetime : K_static '''
934 print('lifetime', list(p))
935 # { $$ = LexicalScope::STATIC; }
936 ()
937 def p_lifetime_opt_1(p):
938 '''lifetime_opt : lifetime '''
939 print('lifetime_opt', list(p))
940 # { $$ = $1; }
941 ()
942 def p_lifetime_opt_2(p):
943 '''lifetime_opt : '''
944 print('lifetime_opt', list(p))
945 # { $$ = LexicalScope::INHERITED; }
946 ()
947 def p_loop_statement_1(p):
948 '''loop_statement : K_for '(' lpvalue '=' expression ';' expression ';' for_step ')' statement_or_null '''
949 print('loop_statement', list(p))
950 # { PForStatement*tmp = new PForStatement($3, $5, $7, $9, $11);
951 # FILE_NAME(tmp, @1);
952 # $$ = tmp;
953 # }
954 ()
955 def p_loop_statement_2(p):
956 '''loop_statement : K_for '(' data_type IDENTIFIER '=' expression ';' expression ';' for_step ')' _embed0_loop_statement statement_or_null '''
957 print('loop_statement', list(p))
958 # { pform_name_t tmp_hident;
959 # tmp_hident.push_back(name_component_t(lex_strings.make($4)));
960 #
961 # PEIdent*tmp_ident = pform_new_ident(tmp_hident);
962 # FILE_NAME(tmp_ident, @4);
963 #
964 # PForStatement*tmp_for = new PForStatement(tmp_ident, $6, $8, $10, $13);
965 # FILE_NAME(tmp_for, @1);
966 #
967 # pform_pop_scope();
968 # vector<Statement*>tmp_for_list (1);
969 # tmp_for_list[0] = tmp_for;
970 # PBlock*tmp_blk = current_block_stack.top();
971 # current_block_stack.pop();
972 # tmp_blk->set_statement(tmp_for_list);
973 # $$ = tmp_blk;
974 # delete[]$4;
975 # }
976 ()
977 def p_loop_statement_3(p):
978 '''loop_statement : K_forever statement_or_null '''
979 print('loop_statement', list(p))
980 # { PForever*tmp = new PForever($2);
981 # FILE_NAME(tmp, @1);
982 # $$ = tmp;
983 # }
984 ()
985 def p_loop_statement_4(p):
986 '''loop_statement : K_repeat '(' expression ')' statement_or_null '''
987 print('loop_statement', list(p))
988 # { PRepeat*tmp = new PRepeat($3, $5);
989 # FILE_NAME(tmp, @1);
990 # $$ = tmp;
991 # }
992 ()
993 def p_loop_statement_5(p):
994 '''loop_statement : K_while '(' expression ')' statement_or_null '''
995 print('loop_statement', list(p))
996 # { PWhile*tmp = new PWhile($3, $5);
997 # FILE_NAME(tmp, @1);
998 # $$ = tmp;
999 # }
1000 ()
1001 def p_loop_statement_6(p):
1002 '''loop_statement : K_do statement_or_null K_while '(' expression ')' ';' '''
1003 print('loop_statement', list(p))
1004 # { PDoWhile*tmp = new PDoWhile($5, $2);
1005 # FILE_NAME(tmp, @1);
1006 # $$ = tmp;
1007 # }
1008 ()
1009 def p_loop_statement_7(p):
1010 '''loop_statement : K_foreach '(' IDENTIFIER '[' loop_variables ']' ')' _embed1_loop_statement statement_or_null '''
1011 print('loop_statement', list(p))
1012 # { PForeach*tmp_for = pform_make_foreach(@1, $3, $5, $9);
1013 #
1014 # pform_pop_scope();
1015 # vector<Statement*>tmp_for_list(1);
1016 # tmp_for_list[0] = tmp_for;
1017 # PBlock*tmp_blk = current_block_stack.top();
1018 # current_block_stack.pop();
1019 # tmp_blk->set_statement(tmp_for_list);
1020 # $$ = tmp_blk;
1021 # }
1022 ()
1023 def p_loop_statement_8(p):
1024 '''loop_statement : K_for '(' lpvalue '=' expression ';' expression ';' error ')' statement_or_null '''
1025 print('loop_statement', list(p))
1026 # { $$ = 0;
1027 # yyerror(@1, "error: Error in for loop step assignment.");
1028 # }
1029 ()
1030 def p_loop_statement_9(p):
1031 '''loop_statement : K_for '(' lpvalue '=' expression ';' error ';' for_step ')' statement_or_null '''
1032 print('loop_statement', list(p))
1033 # { $$ = 0;
1034 # yyerror(@1, "error: Error in for loop condition expression.");
1035 # }
1036 ()
1037 def p_loop_statement_10(p):
1038 '''loop_statement : K_for '(' error ')' statement_or_null '''
1039 print('loop_statement', list(p))
1040 # { $$ = 0;
1041 # yyerror(@1, "error: Incomprehensible for loop.");
1042 # }
1043 ()
1044 def p_loop_statement_11(p):
1045 '''loop_statement : K_while '(' error ')' statement_or_null '''
1046 print('loop_statement', list(p))
1047 # { $$ = 0;
1048 # yyerror(@1, "error: Error in while loop condition.");
1049 # }
1050 ()
1051 def p_loop_statement_12(p):
1052 '''loop_statement : K_do statement_or_null K_while '(' error ')' ';' '''
1053 print('loop_statement', list(p))
1054 # { $$ = 0;
1055 # yyerror(@1, "error: Error in do/while loop condition.");
1056 # }
1057 ()
1058 def p_loop_statement_13(p):
1059 '''loop_statement : K_foreach '(' IDENTIFIER '[' error ']' ')' statement_or_null '''
1060 print('loop_statement', list(p))
1061 # { $$ = 0;
1062 # yyerror(@4, "error: Errors in foreach loop variables list.");
1063 # }
1064 ()
1065 def p__embed0_loop_statement(p):
1066 '''_embed0_loop_statement : '''
1067 # { static unsigned for_counter = 0;
1068 # char for_block_name [64];
1069 # snprintf(for_block_name, sizeof for_block_name, "$ivl_for_loop%u", for_counter);
1070 # for_counter += 1;
1071 # PBlock*tmp = pform_push_block_scope(for_block_name, PBlock::BL_SEQ);
1072 # FILE_NAME(tmp, @1);
1073 # current_block_stack.push(tmp);
1074 #
1075 # list<decl_assignment_t*>assign_list;
1076 # decl_assignment_t*tmp_assign = new decl_assignment_t;
1077 # tmp_assign->name = lex_strings.make($4);
1078 # assign_list.push_back(tmp_assign);
1079 # pform_makewire(@4, 0, str_strength, &assign_list, NetNet::REG, $3);
1080 # }
1081 ()
1082 def p__embed1_loop_statement(p):
1083 '''_embed1_loop_statement : '''
1084 # { static unsigned foreach_counter = 0;
1085 # char for_block_name[64];
1086 # snprintf(for_block_name, sizeof for_block_name, "$ivl_foreach%u", foreach_counter);
1087 # foreach_counter += 1;
1088 #
1089 # PBlock*tmp = pform_push_block_scope(for_block_name, PBlock::BL_SEQ);
1090 # FILE_NAME(tmp, @1);
1091 # current_block_stack.push(tmp);
1092 #
1093 # pform_make_foreach_declarations(@1, $5);
1094 # }
1095 ()
1096 def p_list_of_variable_decl_assignments_1(p):
1097 '''list_of_variable_decl_assignments : variable_decl_assignment '''
1098 print('list_of_variable_decl_assignments', list(p))
1099 # { list<decl_assignment_t*>*tmp = new list<decl_assignment_t*>;
1100 # tmp->push_back($1);
1101 # $$ = tmp;
1102 # }
1103 ()
1104 def p_list_of_variable_decl_assignments_2(p):
1105 '''list_of_variable_decl_assignments : list_of_variable_decl_assignments ',' variable_decl_assignment '''
1106 print('list_of_variable_decl_assignments', list(p))
1107 # { list<decl_assignment_t*>*tmp = $1;
1108 # tmp->push_back($3);
1109 # $$ = tmp;
1110 # }
1111 ()
1112 def p_variable_decl_assignment_1(p):
1113 '''variable_decl_assignment : IDENTIFIER dimensions_opt '''
1114 print('variable_decl_assignment', list(p))
1115 # { decl_assignment_t*tmp = new decl_assignment_t;
1116 # tmp->name = lex_strings.make($1);
1117 # if ($2) {
1118 # tmp->index = *$2;
1119 # delete $2;
1120 # }
1121 # delete[]$1;
1122 # $$ = tmp;
1123 # }
1124 ()
1125 def p_variable_decl_assignment_2(p):
1126 '''variable_decl_assignment : IDENTIFIER '=' expression '''
1127 print('variable_decl_assignment', list(p))
1128 # { decl_assignment_t*tmp = new decl_assignment_t;
1129 # tmp->name = lex_strings.make($1);
1130 # tmp->expr .reset($3);
1131 # delete[]$1;
1132 # $$ = tmp;
1133 # }
1134 ()
1135 def p_variable_decl_assignment_3(p):
1136 '''variable_decl_assignment : IDENTIFIER '=' K_new '(' ')' '''
1137 print('variable_decl_assignment', list(p))
1138 # { decl_assignment_t*tmp = new decl_assignment_t;
1139 # tmp->name = lex_strings.make($1);
1140 # PENewClass*expr = new PENewClass;
1141 # FILE_NAME(expr, @3);
1142 # tmp->expr .reset(expr);
1143 # delete[]$1;
1144 # $$ = tmp;
1145 # }
1146 ()
1147 def p_loop_variables_1(p):
1148 '''loop_variables : loop_variables ',' IDENTIFIER '''
1149 print('loop_variables', list(p))
1150 # { list<perm_string>*tmp = $1;
1151 # tmp->push_back(lex_strings.make($3));
1152 # delete[]$3;
1153 # $$ = tmp;
1154 # }
1155 ()
1156 def p_loop_variables_2(p):
1157 '''loop_variables : IDENTIFIER '''
1158 print('loop_variables', list(p))
1159 # { list<perm_string>*tmp = new list<perm_string>;
1160 # tmp->push_back(lex_strings.make($1));
1161 # delete[]$1;
1162 # $$ = tmp;
1163 # }
1164 ()
1165 def p_method_qualifier_1(p):
1166 '''method_qualifier : K_virtual '''
1167 print('method_qualifier', list(p))
1168 ()
1169 def p_method_qualifier_2(p):
1170 '''method_qualifier : class_item_qualifier '''
1171 print('method_qualifier', list(p))
1172 ()
1173 def p_method_qualifier_opt_1(p):
1174 '''method_qualifier_opt : method_qualifier '''
1175 print('method_qualifier_opt', list(p))
1176 ()
1177 def p_method_qualifier_opt_2(p):
1178 '''method_qualifier_opt : '''
1179 print('method_qualifier_opt', list(p))
1180 ()
1181 def p_modport_declaration_1(p):
1182 '''modport_declaration : K_modport _embed0_modport_declaration modport_item_list ';' '''
1183 print('modport_declaration', list(p))
1184 ()
1185 def p__embed0_modport_declaration(p):
1186 '''_embed0_modport_declaration : '''
1187 # { if (!pform_in_interface())
1188 # yyerror(@1, "error: modport declarations are only allowed "
1189 # "in interfaces.");
1190 # }
1191 ()
1192 def p_modport_item_list_1(p):
1193 '''modport_item_list : modport_item '''
1194 print('modport_item_list', list(p))
1195 ()
1196 def p_modport_item_list_2(p):
1197 '''modport_item_list : modport_item_list ',' modport_item '''
1198 print('modport_item_list', list(p))
1199 ()
1200 def p_modport_item_1(p):
1201 '''modport_item : IDENTIFIER _embed0_modport_item '(' modport_ports_list ')' '''
1202 print('modport_item', list(p))
1203 # { pform_end_modport_item(@1); }
1204 ()
1205 def p__embed0_modport_item(p):
1206 '''_embed0_modport_item : '''
1207 # { pform_start_modport_item(@1, $1); }
1208 ()
1209 def p_modport_ports_list_1(p):
1210 '''modport_ports_list : modport_ports_declaration '''
1211 print('modport_ports_list', list(p))
1212 ()
1213 def p_modport_ports_list_2(p):
1214 '''modport_ports_list : modport_ports_list ',' modport_ports_declaration '''
1215 print('modport_ports_list', list(p))
1216 ()
1217 def p_modport_ports_list_3(p):
1218 '''modport_ports_list : modport_ports_list ',' modport_simple_port '''
1219 print('modport_ports_list', list(p))
1220 # { if (last_modport_port.type == MP_SIMPLE) {
1221 # pform_add_modport_port(@3, last_modport_port.direction,
1222 # $3->name, $3->parm);
1223 # } else {
1224 # yyerror(@3, "error: modport expression not allowed here.");
1225 # }
1226 # delete $3;
1227 # }
1228 ()
1229 def p_modport_ports_list_4(p):
1230 '''modport_ports_list : modport_ports_list ',' modport_tf_port '''
1231 print('modport_ports_list', list(p))
1232 # { if (last_modport_port.type != MP_TF)
1233 # yyerror(@3, "error: task/function declaration not allowed here.");
1234 # }
1235 ()
1236 def p_modport_ports_list_5(p):
1237 '''modport_ports_list : modport_ports_list ',' IDENTIFIER '''
1238 print('modport_ports_list', list(p))
1239 # { if (last_modport_port.type == MP_SIMPLE) {
1240 # pform_add_modport_port(@3, last_modport_port.direction,
1241 # lex_strings.make($3), 0);
1242 # } else if (last_modport_port.type != MP_TF) {
1243 # yyerror(@3, "error: list of identifiers not allowed here.");
1244 # }
1245 # delete[] $3;
1246 # }
1247 ()
1248 def p_modport_ports_list_6(p):
1249 '''modport_ports_list : modport_ports_list ',' '''
1250 print('modport_ports_list', list(p))
1251 # { yyerror(@2, "error: NULL port declarations are not allowed"); }
1252 ()
1253 def p_modport_ports_declaration_1(p):
1254 '''modport_ports_declaration : attribute_list_opt port_direction IDENTIFIER '''
1255 print('modport_ports_declaration', list(p))
1256 # { last_modport_port.type = MP_SIMPLE;
1257 # last_modport_port.direction = $2;
1258 # pform_add_modport_port(@3, $2, lex_strings.make($3), 0);
1259 # delete[] $3;
1260 # delete $1;
1261 # }
1262 ()
1263 def p_modport_ports_declaration_2(p):
1264 '''modport_ports_declaration : attribute_list_opt port_direction modport_simple_port '''
1265 print('modport_ports_declaration', list(p))
1266 # { last_modport_port.type = MP_SIMPLE;
1267 # last_modport_port.direction = $2;
1268 # pform_add_modport_port(@3, $2, $3->name, $3->parm);
1269 # delete $3;
1270 # delete $1;
1271 # }
1272 ()
1273 def p_modport_ports_declaration_3(p):
1274 '''modport_ports_declaration : attribute_list_opt import_export IDENTIFIER '''
1275 print('modport_ports_declaration', list(p))
1276 # { last_modport_port.type = MP_TF;
1277 # last_modport_port.is_import = $2;
1278 # yyerror(@3, "sorry: modport task/function ports are not yet supported.");
1279 # delete[] $3;
1280 # delete $1;
1281 # }
1282 ()
1283 def p_modport_ports_declaration_4(p):
1284 '''modport_ports_declaration : attribute_list_opt import_export modport_tf_port '''
1285 print('modport_ports_declaration', list(p))
1286 # { last_modport_port.type = MP_TF;
1287 # last_modport_port.is_import = $2;
1288 # yyerror(@3, "sorry: modport task/function ports are not yet supported.");
1289 # delete $1;
1290 # }
1291 ()
1292 def p_modport_ports_declaration_5(p):
1293 '''modport_ports_declaration : attribute_list_opt K_clocking IDENTIFIER '''
1294 print('modport_ports_declaration', list(p))
1295 # { last_modport_port.type = MP_CLOCKING;
1296 # last_modport_port.direction = NetNet::NOT_A_PORT;
1297 # yyerror(@3, "sorry: modport clocking declaration is not yet supported.");
1298 # delete[] $3;
1299 # delete $1;
1300 # }
1301 ()
1302 def p_modport_simple_port_1(p):
1303 '''modport_simple_port : '.' IDENTIFIER '(' expression ')' '''
1304 print('modport_simple_port', list(p))
1305 # { named_pexpr_t*tmp = new named_pexpr_t;
1306 # tmp->name = lex_strings.make($2);
1307 # tmp->parm = $4;
1308 # delete[]$2;
1309 # $$ = tmp;
1310 # }
1311 ()
1312 def p_modport_tf_port_1(p):
1313 '''modport_tf_port : K_task IDENTIFIER '''
1314 print('modport_tf_port', list(p))
1315 ()
1316 def p_modport_tf_port_2(p):
1317 '''modport_tf_port : K_task IDENTIFIER '(' tf_port_list_opt ')' '''
1318 print('modport_tf_port', list(p))
1319 ()
1320 def p_modport_tf_port_3(p):
1321 '''modport_tf_port : K_function data_type_or_implicit_or_void IDENTIFIER '''
1322 print('modport_tf_port', list(p))
1323 ()
1324 def p_modport_tf_port_4(p):
1325 '''modport_tf_port : K_function data_type_or_implicit_or_void IDENTIFIER '(' tf_port_list_opt ')' '''
1326 print('modport_tf_port', list(p))
1327 ()
1328 def p_non_integer_type_1(p):
1329 '''non_integer_type : K_real '''
1330 print('non_integer_type', list(p))
1331 # { $$ = real_type_t::REAL; }
1332 ()
1333 def p_non_integer_type_2(p):
1334 '''non_integer_type : K_realtime '''
1335 print('non_integer_type', list(p))
1336 # { $$ = real_type_t::REAL; }
1337 ()
1338 def p_non_integer_type_3(p):
1339 '''non_integer_type : K_shortreal '''
1340 print('non_integer_type', list(p))
1341 # { $$ = real_type_t::SHORTREAL; }
1342 ()
1343 def p_number_1(p):
1344 '''number : BASED_NUMBER '''
1345 print('number1', list(p))
1346 # { $$ = $1; based_size = 0;}
1347 ()
1348 def p_number_2(p):
1349 '''number : DEC_NUMBER '''
1350 print('number2', list(p))
1351 # { $$ = $1; based_size = 0;}
1352 ()
1353 def p_number_3(p):
1354 '''number : DEC_NUMBER BASED_NUMBER '''
1355 print('number3', list(p))
1356 num = Leaf(token.NUMBER, "%s:%s" % (p[1], p[2]))
1357 p[0] = num
1358 # { $$ = pform_verinum_with_size($1,$2, @2.text, @2.first_line);
1359 # based_size = 0; }
1360 ()
1361 def p_number_4(p):
1362 '''number : UNBASED_NUMBER '''
1363 print('number4', list(p))
1364 # { $$ = $1; based_size = 0;}
1365 ()
1366 def p_number_5(p):
1367 '''number : DEC_NUMBER UNBASED_NUMBER '''
1368 print('number5', list(p))
1369 # { yyerror(@1, "error: Unbased SystemVerilog literal cannot have "
1370 # "a size.");
1371 # $$ = $1; based_size = 0;}
1372 ()
1373 def p_open_range_list_1(p):
1374 '''open_range_list : open_range_list ',' value_range '''
1375 print('open_range_list', list(p))
1376 ()
1377 def p_open_range_list_2(p):
1378 '''open_range_list : value_range '''
1379 print('open_range_list', list(p))
1380 ()
1381 def p_package_declaration_1(p):
1382 '''package_declaration : K_package lifetime_opt IDENTIFIER ';' _embed0_package_declaration timeunits_declaration_opt _embed1_package_declaration package_item_list_opt K_endpackage endlabel_opt '''
1383 print('package_declaration', list(p))
1384 # { pform_end_package_declaration(@1);
1385 # // If an end label is present make sure it match the package name.
1386 # if ($10) {
1387 # if (strcmp($3,$10) != 0) {
1388 # yyerror(@10, "error: End label doesn't match package name");
1389 # }
1390 # delete[]$10;
1391 # }
1392 # delete[]$3;
1393 # }
1394 ()
1395 def p__embed0_package_declaration(p):
1396 '''_embed0_package_declaration : '''
1397 # { pform_start_package_declaration(@1, $3, $2); }
1398 ()
1399 def p__embed1_package_declaration(p):
1400 '''_embed1_package_declaration : '''
1401 # { pform_set_scope_timescale(@1); }
1402 ()
1403 def p_module_package_import_list_opt_1(p):
1404 '''module_package_import_list_opt : '''
1405 print('module_package_import_list_opt', list(p))
1406 ()
1407 def p_module_package_import_list_opt_2(p):
1408 '''module_package_import_list_opt : package_import_list '''
1409 print('module_package_import_list_opt', list(p))
1410 ()
1411 def p_package_import_list_1(p):
1412 '''package_import_list : package_import_declaration '''
1413 print('package_import_list', list(p))
1414 ()
1415 def p_package_import_list_2(p):
1416 '''package_import_list : package_import_list package_import_declaration '''
1417 print('package_import_list', list(p))
1418 ()
1419 def p_package_import_declaration_1(p):
1420 '''package_import_declaration : K_import package_import_item_list ';' '''
1421 print('package_import_declaration', list(p))
1422 # { }
1423 ()
1424 def p_package_import_item_1(p):
1425 '''package_import_item : PACKAGE_IDENTIFIER K_SCOPE_RES IDENTIFIER '''
1426 print('package_import_item', list(p))
1427 # { pform_package_import(@2, $1, $3);
1428 # delete[]$3;
1429 # }
1430 ()
1431 def p_package_import_item_2(p):
1432 '''package_import_item : PACKAGE_IDENTIFIER K_SCOPE_RES '*' '''
1433 print('package_import_item', list(p))
1434 # { pform_package_import(@2, $1, 0);
1435 # }
1436 ()
1437 def p_package_import_item_list_1(p):
1438 '''package_import_item_list : package_import_item_list ',' package_import_item '''
1439 print('package_import_item_list', list(p))
1440 ()
1441 def p_package_import_item_list_2(p):
1442 '''package_import_item_list : package_import_item '''
1443 print('package_import_item_list', list(p))
1444 ()
1445 def p_package_item_1(p):
1446 '''package_item : timeunits_declaration '''
1447 print('package_item', list(p))
1448 ()
1449 def p_package_item_2(p):
1450 '''package_item : K_parameter param_type parameter_assign_list ';' '''
1451 print('package_item', list(p))
1452 ()
1453 def p_package_item_3(p):
1454 '''package_item : K_localparam param_type localparam_assign_list ';' '''
1455 print('package_item', list(p))
1456 ()
1457 def p_package_item_4(p):
1458 '''package_item : type_declaration '''
1459 print('package_item', list(p))
1460 ()
1461 def p_package_item_5(p):
1462 '''package_item : function_declaration '''
1463 print('package_item', list(p))
1464 ()
1465 def p_package_item_6(p):
1466 '''package_item : task_declaration '''
1467 print('package_item', list(p))
1468 ()
1469 def p_package_item_7(p):
1470 '''package_item : data_declaration '''
1471 print('package_item', list(p))
1472 ()
1473 def p_package_item_8(p):
1474 '''package_item : class_declaration '''
1475 print('package_item', list(p))
1476 ()
1477 def p_package_item_list_1(p):
1478 '''package_item_list : package_item_list package_item '''
1479 print('package_item_list', list(p))
1480 ()
1481 def p_package_item_list_2(p):
1482 '''package_item_list : package_item '''
1483 print('package_item_list', list(p))
1484 ()
1485 def p_package_item_list_opt_1(p):
1486 '''package_item_list_opt : package_item_list '''
1487 print('package_item_list_opt', list(p))
1488 ()
1489 def p_package_item_list_opt_2(p):
1490 '''package_item_list_opt : '''
1491 print('package_item_list_opt', list(p))
1492 ()
1493 def p_port_direction_1(p):
1494 '''port_direction : K_input '''
1495 print('port_direction', list(p))
1496 # { $$ = NetNet::PINPUT; }
1497 ()
1498 def p_port_direction_2(p):
1499 '''port_direction : K_output '''
1500 print('port_direction', list(p))
1501 # { $$ = NetNet::POUTPUT; }
1502 ()
1503 def p_port_direction_3(p):
1504 '''port_direction : K_inout '''
1505 print('port_direction', list(p))
1506 # { $$ = NetNet::PINOUT; }
1507 ()
1508 def p_port_direction_4(p):
1509 '''port_direction : K_ref '''
1510 print('port_direction', list(p))
1511 # { $$ = NetNet::PREF;
1512 # if (!gn_system_verilog()) {
1513 # yyerror(@1, "error: Reference ports (ref) require SystemVerilog.");
1514 # $$ = NetNet::PINPUT;
1515 # }
1516 # }
1517 ()
1518 def p_port_direction_opt_1(p):
1519 '''port_direction_opt : port_direction '''
1520 print('port_direction_opt', list(p))
1521 # { $$ = $1; }
1522 ()
1523 def p_port_direction_opt_2(p):
1524 '''port_direction_opt : '''
1525 print('port_direction_opt', list(p))
1526 # { $$ = NetNet::PIMPLICIT; }
1527 ()
1528 def p_property_expr_1(p):
1529 '''property_expr : expression '''
1530 print('property_expr', list(p))
1531 ()
1532 def p_procedural_assertion_statement_1(p):
1533 '''procedural_assertion_statement : K_assert '(' expression ')' statement %prec less_than_K_else '''
1534 print('procedural_assertion_statement', list(p))
1535 # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
1536 # $$ = 0;
1537 # }
1538 ()
1539 def p_procedural_assertion_statement_2(p):
1540 '''procedural_assertion_statement : K_assert '(' expression ')' K_else statement '''
1541 print('procedural_assertion_statement', list(p))
1542 # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
1543 # $$ = 0;
1544 # }
1545 ()
1546 def p_procedural_assertion_statement_3(p):
1547 '''procedural_assertion_statement : K_assert '(' expression ')' statement K_else statement '''
1548 print('procedural_assertion_statement', list(p))
1549 # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
1550 # $$ = 0;
1551 # }
1552 ()
1553 def p_property_qualifier_1(p):
1554 '''property_qualifier : class_item_qualifier '''
1555 print('property_qualifier', list(p))
1556 ()
1557 def p_property_qualifier_2(p):
1558 '''property_qualifier : random_qualifier '''
1559 print('property_qualifier', list(p))
1560 ()
1561 def p_property_qualifier_opt_1(p):
1562 '''property_qualifier_opt : property_qualifier_list '''
1563 print('property_qualifier_opt', list(p))
1564 # { $$ = $1; }
1565 ()
1566 def p_property_qualifier_opt_2(p):
1567 '''property_qualifier_opt : '''
1568 print('property_qualifier_opt', list(p))
1569 # { $$ = property_qualifier_t::make_none(); }
1570 ()
1571 def p_property_qualifier_list_1(p):
1572 '''property_qualifier_list : property_qualifier_list property_qualifier '''
1573 print('property_qualifier_list', list(p))
1574 # { $$ = $1 | $2; }
1575 ()
1576 def p_property_qualifier_list_2(p):
1577 '''property_qualifier_list : property_qualifier '''
1578 print('property_qualifier_list', list(p))
1579 # { $$ = $1; }
1580 ()
1581 def p_property_spec_1(p):
1582 '''property_spec : clocking_event_opt property_spec_disable_iff_opt property_expr '''
1583 print('property_spec', list(p))
1584 ()
1585 def p_property_spec_disable_iff_opt_1(p):
1586 '''property_spec_disable_iff_opt : K_disable K_iff '(' expression ')' '''
1587 print('property_spec_disable_iff_opt', list(p))
1588 ()
1589 def p_property_spec_disable_iff_opt_2(p):
1590 '''property_spec_disable_iff_opt : '''
1591 print('property_spec_disable_iff_opt', list(p))
1592 ()
1593 def p_random_qualifier_1(p):
1594 '''random_qualifier : K_rand '''
1595 print('random_qualifier', list(p))
1596 # { $$ = property_qualifier_t::make_rand(); }
1597 ()
1598 def p_random_qualifier_2(p):
1599 '''random_qualifier : K_randc '''
1600 print('random_qualifier', list(p))
1601 # { $$ = property_qualifier_t::make_randc(); }
1602 ()
1603 def p_real_or_realtime_1(p):
1604 '''real_or_realtime : K_real '''
1605 print('real_or_realtime', list(p))
1606 ()
1607 def p_real_or_realtime_2(p):
1608 '''real_or_realtime : K_realtime '''
1609 print('real_or_realtime', list(p))
1610 ()
1611 def p_signing_1(p):
1612 '''signing : K_signed '''
1613 print('signing', list(p))
1614 # { $$ = true; }
1615 ()
1616 def p_signing_2(p):
1617 '''signing : K_unsigned '''
1618 print('signing', list(p))
1619 # { $$ = false; }
1620 ()
1621 def p_simple_type_or_string_1(p):
1622 '''simple_type_or_string : integer_vector_type '''
1623 print('simple_type_or_string', list(p))
1624 # { ivl_variable_type_t use_vtype = $1;
1625 # bool reg_flag = false;
1626 # if (use_vtype == IVL_VT_NO_TYPE) {
1627 # use_vtype = IVL_VT_LOGIC;
1628 # reg_flag = true;
1629 # }
1630 # vector_type_t*tmp = new vector_type_t(use_vtype, false, 0);
1631 # tmp->reg_flag = reg_flag;
1632 # FILE_NAME(tmp, @1);
1633 # $$ = tmp;
1634 # }
1635 ()
1636 def p_simple_type_or_string_2(p):
1637 '''simple_type_or_string : non_integer_type '''
1638 print('simple_type_or_string', list(p))
1639 # { real_type_t*tmp = new real_type_t($1);
1640 # FILE_NAME(tmp, @1);
1641 # $$ = tmp;
1642 # }
1643 ()
1644 def p_simple_type_or_string_3(p):
1645 '''simple_type_or_string : atom2_type '''
1646 print('simple_type_or_string', list(p))
1647 # { atom2_type_t*tmp = new atom2_type_t($1, true);
1648 # FILE_NAME(tmp, @1);
1649 # $$ = tmp;
1650 # }
1651 ()
1652 def p_simple_type_or_string_4(p):
1653 '''simple_type_or_string : K_integer '''
1654 print('simple_type_or_string', list(p))
1655 # { list<pform_range_t>*pd = make_range_from_width(integer_width);
1656 # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, true, pd);
1657 # tmp->reg_flag = true;
1658 # tmp->integer_flag = true;
1659 # $$ = tmp;
1660 # }
1661 ()
1662 def p_simple_type_or_string_5(p):
1663 '''simple_type_or_string : K_time '''
1664 print('simple_type_or_string', list(p))
1665 # { list<pform_range_t>*pd = make_range_from_width(64);
1666 # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd);
1667 # tmp->reg_flag = !gn_system_verilog();
1668 # $$ = tmp;
1669 # }
1670 ()
1671 def p_simple_type_or_string_6(p):
1672 '''simple_type_or_string : TYPE_IDENTIFIER '''
1673 print('simple_type_or_string', list(p))
1674 # { $$ = $1.type;
1675 # delete[]$1.text;
1676 # }
1677 ()
1678 def p_simple_type_or_string_7(p):
1679 '''simple_type_or_string : PACKAGE_IDENTIFIER K_SCOPE_RES _embed0_simple_type_or_string TYPE_IDENTIFIER '''
1680 print('simple_type_or_string', list(p))
1681 # { lex_in_package_scope(0);
1682 # $$ = $4.type;
1683 # delete[]$4.text;
1684 # }
1685 ()
1686 def p_simple_type_or_string_8(p):
1687 '''simple_type_or_string : K_string '''
1688 print('simple_type_or_string', list(p))
1689 # { string_type_t*tmp = new string_type_t;
1690 # FILE_NAME(tmp, @1);
1691 # $$ = tmp;
1692 # }
1693 ()
1694 def p__embed0_simple_type_or_string(p):
1695 '''_embed0_simple_type_or_string : '''
1696 # { lex_in_package_scope($1); }
1697 ()
1698 def p_statement_1(p):
1699 '''statement : attribute_list_opt statement_item '''
1700 print('statement', list(p))
1701 # { pform_bind_attributes($2->attributes, $1);
1702 # $$ = $2;
1703 # }
1704 ()
1705 def p_statement_or_null_1(p):
1706 '''statement_or_null : statement '''
1707 print('statement_or_null', list(p))
1708 # { $$ = $1; }
1709 ()
1710 def p_statement_or_null_2(p):
1711 '''statement_or_null : attribute_list_opt ';' '''
1712 print('statement_or_null', list(p))
1713 # { $$ = 0; }
1714 ()
1715 def p_stream_expression_1(p):
1716 '''stream_expression : expression '''
1717 print('stream_expression', list(p))
1718 ()
1719 def p_stream_expression_list_1(p):
1720 '''stream_expression_list : stream_expression_list ',' stream_expression '''
1721 print('stream_expression_list', list(p))
1722 ()
1723 def p_stream_expression_list_2(p):
1724 '''stream_expression_list : stream_expression '''
1725 print('stream_expression_list', list(p))
1726 ()
1727 def p_stream_operator_1(p):
1728 '''stream_operator : K_LS '''
1729 print('stream_operator', list(p))
1730 ()
1731 def p_stream_operator_2(p):
1732 '''stream_operator : K_RS '''
1733 print('stream_operator', list(p))
1734 ()
1735 def p_streaming_concatenation_1(p):
1736 '''streaming_concatenation : '{' stream_operator '{' stream_expression_list '}' '}' '''
1737 print('streaming_concatenation', list(p))
1738 # { /* streaming concatenation is a SystemVerilog thing. */
1739 # if (gn_system_verilog()) {
1740 # yyerror(@2, "sorry: Streaming concatenation not supported.");
1741 # $$ = 0;
1742 # } else {
1743 # yyerror(@2, "error: Streaming concatenation requires SystemVerilog");
1744 # $$ = 0;
1745 # }
1746 # }
1747 ()
1748 def p_task_declaration_1(p):
1749 '''task_declaration : K_task lifetime_opt IDENTIFIER ';' _embed0_task_declaration task_item_list_opt statement_or_null_list_opt K_endtask _embed1_task_declaration endlabel_opt '''
1750 print('task_declaration', list(p))
1751 # { // Last step: check any closing name. This is done late so
1752 # // that the parser can look ahead to detect the present
1753 # // endlabel_opt but still have the pform_endmodule() called
1754 # // early enough that the lexor can know we are outside the
1755 # // module.
1756 # if ($10) {
1757 # if (strcmp($3,$10) != 0) {
1758 # yyerror(@10, "error: End label doesn't match task name");
1759 # }
1760 # if (! gn_system_verilog()) {
1761 # yyerror(@10, "error: Task end labels require "
1762 # "SystemVerilog.");
1763 # }
1764 # delete[]$10;
1765 # }
1766 # delete[]$3;
1767 # }
1768 ()
1769 def p_task_declaration_2(p):
1770 '''task_declaration : K_task lifetime_opt IDENTIFIER '(' _embed2_task_declaration tf_port_list ')' ';' block_item_decls_opt statement_or_null_list_opt K_endtask _embed3_task_declaration endlabel_opt '''
1771 print('task_declaration', list(p))
1772 # { // Last step: check any closing name. This is done late so
1773 # // that the parser can look ahead to detect the present
1774 # // endlabel_opt but still have the pform_endmodule() called
1775 # // early enough that the lexor can know we are outside the
1776 # // module.
1777 # if ($13) {
1778 # if (strcmp($3,$13) != 0) {
1779 # yyerror(@13, "error: End label doesn't match task name");
1780 # }
1781 # if (! gn_system_verilog()) {
1782 # yyerror(@13, "error: Task end labels require "
1783 # "SystemVerilog.");
1784 # }
1785 # delete[]$13;
1786 # }
1787 # delete[]$3;
1788 # }
1789 ()
1790 def p_task_declaration_3(p):
1791 '''task_declaration : K_task lifetime_opt IDENTIFIER '(' ')' ';' _embed4_task_declaration block_item_decls_opt statement_or_null_list K_endtask _embed5_task_declaration endlabel_opt '''
1792 print('task_declaration', list(p))
1793 # { // Last step: check any closing name. This is done late so
1794 # // that the parser can look ahead to detect the present
1795 # // endlabel_opt but still have the pform_endmodule() called
1796 # // early enough that the lexor can know we are outside the
1797 # // module.
1798 # if ($12) {
1799 # if (strcmp($3,$12) != 0) {
1800 # yyerror(@12, "error: End label doesn't match task name");
1801 # }
1802 # if (! gn_system_verilog()) {
1803 # yyerror(@12, "error: Task end labels require "
1804 # "SystemVerilog.");
1805 # }
1806 # delete[]$12;
1807 # }
1808 # delete[]$3;
1809 # }
1810 ()
1811 def p_task_declaration_4(p):
1812 '''task_declaration : K_task lifetime_opt IDENTIFIER error K_endtask _embed6_task_declaration endlabel_opt '''
1813 print('task_declaration', list(p))
1814 # { // Last step: check any closing name. This is done late so
1815 # // that the parser can look ahead to detect the present
1816 # // endlabel_opt but still have the pform_endmodule() called
1817 # // early enough that the lexor can know we are outside the
1818 # // module.
1819 # if ($7) {
1820 # if (strcmp($3,$7) != 0) {
1821 # yyerror(@7, "error: End label doesn't match task name");
1822 # }
1823 # if (! gn_system_verilog()) {
1824 # yyerror(@7, "error: Task end labels require "
1825 # "SystemVerilog.");
1826 # }
1827 # delete[]$7;
1828 # }
1829 # delete[]$3;
1830 # }
1831 ()
1832 def p__embed0_task_declaration(p):
1833 '''_embed0_task_declaration : '''
1834 # { assert(current_task == 0);
1835 # current_task = pform_push_task_scope(@1, $3, $2);
1836 # }
1837 ()
1838 def p__embed1_task_declaration(p):
1839 '''_embed1_task_declaration : '''
1840 # { current_task->set_ports($6);
1841 # current_task_set_statement(@3, $7);
1842 # pform_set_this_class(@3, current_task);
1843 # pform_pop_scope();
1844 # current_task = 0;
1845 # if ($7 && $7->size() > 1 && !gn_system_verilog()) {
1846 # yyerror(@7, "error: Task body with multiple statements requires SystemVerilog.");
1847 # }
1848 # delete $7;
1849 # }
1850 ()
1851 def p__embed2_task_declaration(p):
1852 '''_embed2_task_declaration : '''
1853 # { assert(current_task == 0);
1854 # current_task = pform_push_task_scope(@1, $3, $2);
1855 # }
1856 ()
1857 def p__embed3_task_declaration(p):
1858 '''_embed3_task_declaration : '''
1859 # { current_task->set_ports($6);
1860 # current_task_set_statement(@3, $10);
1861 # pform_set_this_class(@3, current_task);
1862 # pform_pop_scope();
1863 # current_task = 0;
1864 # if ($10) delete $10;
1865 # }
1866 ()
1867 def p__embed4_task_declaration(p):
1868 '''_embed4_task_declaration : '''
1869 # { assert(current_task == 0);
1870 # current_task = pform_push_task_scope(@1, $3, $2);
1871 # }
1872 ()
1873 def p__embed5_task_declaration(p):
1874 '''_embed5_task_declaration : '''
1875 # { current_task->set_ports(0);
1876 # current_task_set_statement(@3, $9);
1877 # pform_set_this_class(@3, current_task);
1878 # if (! current_task->method_of()) {
1879 # cerr << @3 << ": warning: task definition for \"" << $3
1880 # << "\" has an empty port declaration list!" << endl;
1881 # }
1882 # pform_pop_scope();
1883 # current_task = 0;
1884 # if ($9->size() > 1 && !gn_system_verilog()) {
1885 # yyerror(@9, "error: Task body with multiple statements requires SystemVerilog.");
1886 # }
1887 # delete $9;
1888 # }
1889 ()
1890 def p__embed6_task_declaration(p):
1891 '''_embed6_task_declaration : '''
1892 # {
1893 # if (current_task) {
1894 # pform_pop_scope();
1895 # current_task = 0;
1896 # }
1897 # }
1898 ()
1899 def p_tf_port_declaration_1(p):
1900 '''tf_port_declaration : port_direction K_reg_opt unsigned_signed_opt dimensions_opt list_of_identifiers ';' '''
1901 print('tf_port_declaration', list(p))
1902 # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, $1,
1903 # $2 ? IVL_VT_LOGIC :
1904 # IVL_VT_NO_TYPE,
1905 # $3, $4, $5);
1906 # $$ = tmp;
1907 # }
1908 ()
1909 def p_tf_port_declaration_2(p):
1910 '''tf_port_declaration : port_direction K_integer list_of_identifiers ';' '''
1911 print('tf_port_declaration', list(p))
1912 # { list<pform_range_t>*range_stub = make_range_from_width(integer_width);
1913 # vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, $1, IVL_VT_LOGIC, true,
1914 # range_stub, $3, true);
1915 # $$ = tmp;
1916 # }
1917 ()
1918 def p_tf_port_declaration_3(p):
1919 '''tf_port_declaration : port_direction K_time list_of_identifiers ';' '''
1920 print('tf_port_declaration', list(p))
1921 # { list<pform_range_t>*range_stub = make_range_from_width(64);
1922 # vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, $1, IVL_VT_LOGIC, false,
1923 # range_stub, $3);
1924 # $$ = tmp;
1925 # }
1926 ()
1927 def p_tf_port_declaration_4(p):
1928 '''tf_port_declaration : port_direction real_or_realtime list_of_identifiers ';' '''
1929 print('tf_port_declaration', list(p))
1930 # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, $1, IVL_VT_REAL, true,
1931 # 0, $3);
1932 # $$ = tmp;
1933 # }
1934 ()
1935 def p_tf_port_declaration_5(p):
1936 '''tf_port_declaration : port_direction K_string list_of_identifiers ';' '''
1937 print('tf_port_declaration', list(p))
1938 # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, $1, IVL_VT_STRING, true,
1939 # 0, $3);
1940 # $$ = tmp;
1941 # }
1942 ()
1943 def p_tf_port_item_1(p):
1944 '''tf_port_item : port_direction_opt data_type_or_implicit IDENTIFIER dimensions_opt tf_port_item_expr_opt '''
1945 print('tf_port_item', list(p))
1946 # { vector<pform_tf_port_t>*tmp;
1947 # NetNet::PortType use_port_type = $1;
1948 # if ((use_port_type == NetNet::PIMPLICIT) && (gn_system_verilog() || ($2 == 0)))
1949 # use_port_type = port_declaration_context.port_type;
1950 # perm_string name = lex_strings.make($3);
1951 # list<perm_string>* ilist = list_from_identifier($3);
1952 #
1953 # if (use_port_type == NetNet::PIMPLICIT) {
1954 # yyerror(@1, "error: missing task/function port direction.");
1955 # use_port_type = NetNet::PINPUT; // for error recovery
1956 # }
1957 # if (($2 == 0) && ($1==NetNet::PIMPLICIT)) {
1958 # // Detect special case this is an undecorated
1959 # // identifier and we need to get the declaration from
1960 # // left context.
1961 # if ($4 != 0) {
1962 # yyerror(@4, "internal error: How can there be an unpacked range here?\n");
1963 # }
1964 # tmp = pform_make_task_ports(@3, use_port_type,
1965 # port_declaration_context.data_type,
1966 # ilist);
1967 #
1968 # } else {
1969 # // Otherwise, the decorations for this identifier
1970 # // indicate the type. Save the type for any right
1971 # // context that may come later.
1972 # port_declaration_context.port_type = use_port_type;
1973 # if ($2 == 0) {
1974 # $2 = new vector_type_t(IVL_VT_LOGIC, false, 0);
1975 # FILE_NAME($2, @3);
1976 # }
1977 # port_declaration_context.data_type = $2;
1978 # tmp = pform_make_task_ports(@3, use_port_type, $2, ilist);
1979 # }
1980 # if ($4 != 0) {
1981 # pform_set_reg_idx(name, $4);
1982 # }
1983 #
1984 # $$ = tmp;
1985 # if ($5) {
1986 # assert(tmp->size()==1);
1987 # tmp->front().defe = $5;
1988 # }
1989 # }
1990 ()
1991 def p_tf_port_item_2(p):
1992 '''tf_port_item : port_direction_opt data_type_or_implicit IDENTIFIER error '''
1993 print('tf_port_item', list(p))
1994 # { yyerror(@3, "error: Error in task/function port item after port name %s.", $3);
1995 # yyerrok;
1996 # $$ = 0;
1997 # }
1998 ()
1999 def p_tf_port_item_expr_opt_1(p):
2000 '''tf_port_item_expr_opt : '=' expression '''
2001 print('tf_port_item_expr_opt', list(p))
2002 # { if (! gn_system_verilog()) {
2003 # yyerror(@1, "error: Task/function default arguments require "
2004 # "SystemVerilog.");
2005 # }
2006 # $$ = $2;
2007 # }
2008 ()
2009 def p_tf_port_item_expr_opt_2(p):
2010 '''tf_port_item_expr_opt : '''
2011 print('tf_port_item_expr_opt', list(p))
2012 # { $$ = 0; }
2013 ()
2014 def p_tf_port_list_1(p):
2015 '''tf_port_list : _embed0_tf_port_list tf_port_item_list '''
2016 print('tf_port_list', list(p))
2017 # { $$ = $2; }
2018 ()
2019 def p__embed0_tf_port_list(p):
2020 '''_embed0_tf_port_list : '''
2021 # { port_declaration_context.port_type = gn_system_verilog() ? NetNet::PINPUT : NetNet::PIMPLICIT;
2022 # port_declaration_context.data_type = 0;
2023 # }
2024 ()
2025 def p_tf_port_item_list_1(p):
2026 '''tf_port_item_list : tf_port_item_list ',' tf_port_item '''
2027 print('tf_port_item_list', list(p))
2028 # { vector<pform_tf_port_t>*tmp;
2029 # if ($1 && $3) {
2030 # size_t s1 = $1->size();
2031 # tmp = $1;
2032 # tmp->resize(tmp->size()+$3->size());
2033 # for (size_t idx = 0 ; idx < $3->size() ; idx += 1)
2034 # tmp->at(s1+idx) = $3->at(idx);
2035 # delete $3;
2036 # } else if ($1) {
2037 # tmp = $1;
2038 # } else {
2039 # tmp = $3;
2040 # }
2041 # $$ = tmp;
2042 # }
2043 ()
2044 def p_tf_port_item_list_2(p):
2045 '''tf_port_item_list : tf_port_item '''
2046 print('tf_port_item_list', list(p))
2047 # { $$ = $1; }
2048 ()
2049 def p_tf_port_item_list_3(p):
2050 '''tf_port_item_list : error ',' tf_port_item '''
2051 print('tf_port_item_list', list(p))
2052 # { yyerror(@2, "error: Syntax error in task/function port declaration.");
2053 # $$ = $3;
2054 # }
2055 ()
2056 def p_tf_port_item_list_4(p):
2057 '''tf_port_item_list : tf_port_item_list ',' '''
2058 print('tf_port_item_list', list(p))
2059 # { yyerror(@2, "error: NULL port declarations are not allowed.");
2060 # $$ = $1;
2061 # }
2062 ()
2063 def p_tf_port_item_list_5(p):
2064 '''tf_port_item_list : tf_port_item_list ';' '''
2065 print('tf_port_item_list', list(p))
2066 # { yyerror(@2, "error: ';' is an invalid port declaration separator.");
2067 # $$ = $1;
2068 # }
2069 ()
2070 def p_timeunits_declaration_1(p):
2071 '''timeunits_declaration : K_timeunit TIME_LITERAL ';' '''
2072 print('timeunits_declaration', list(p))
2073 # { pform_set_timeunit($2, allow_timeunit_decl); }
2074 ()
2075 def p_timeunits_declaration_2(p):
2076 '''timeunits_declaration : K_timeunit TIME_LITERAL '/' TIME_LITERAL ';' '''
2077 print('timeunits_declaration', list(p))
2078 # { bool initial_decl = allow_timeunit_decl && allow_timeprec_decl;
2079 # pform_set_timeunit($2, initial_decl);
2080 # pform_set_timeprec($4, initial_decl);
2081 # }
2082 ()
2083 def p_timeunits_declaration_3(p):
2084 '''timeunits_declaration : K_timeprecision TIME_LITERAL ';' '''
2085 print('timeunits_declaration', list(p))
2086 # { pform_set_timeprec($2, allow_timeprec_decl); }
2087 ()
2088 def p_timeunits_declaration_opt_1(p):
2089 '''timeunits_declaration_opt : %prec no_timeunits_declaration '''
2090 print('timeunits_declaration_opt', list(p))
2091 ()
2092 def p_timeunits_declaration_opt_2(p):
2093 '''timeunits_declaration_opt : timeunits_declaration %prec one_timeunits_declaration '''
2094 print('timeunits_declaration_opt', list(p))
2095 ()
2096 def p_timeunits_declaration_opt_3(p):
2097 '''timeunits_declaration_opt : timeunits_declaration timeunits_declaration '''
2098 print('timeunits_declaration_opt', list(p))
2099 ()
2100 def p_value_range_1(p):
2101 '''value_range : expression '''
2102 print('value_range', list(p))
2103 # { }
2104 ()
2105 def p_value_range_2(p):
2106 '''value_range : '[' expression ':' expression ']' '''
2107 print('value_range', list(p))
2108 # { }
2109 ()
2110 def p_variable_dimension_1(p):
2111 '''variable_dimension : '[' expression ':' expression ']' '''
2112 print('variable_dimension', list(p))
2113 # { list<pform_range_t> *tmp = new list<pform_range_t>;
2114 # pform_range_t index ($2,$4);
2115 # tmp->push_back(index);
2116 # $$ = tmp;
2117 # }
2118 ()
2119 def p_variable_dimension_2(p):
2120 '''variable_dimension : '[' expression ']' '''
2121 print('variable_dimension', list(p))
2122 # { // SystemVerilog canonical range
2123 # if (!gn_system_verilog()) {
2124 # warn_count += 1;
2125 # cerr << @2 << ": warning: Use of SystemVerilog [size] dimension. "
2126 # << "Use at least -g2005-sv to remove this warning." << endl;
2127 # }
2128 # list<pform_range_t> *tmp = new list<pform_range_t>;
2129 # pform_range_t index;
2130 # index.first = new PENumber(new verinum((uint64_t)0, integer_width));
2131 # index.second = new PEBinary('-', $2, new PENumber(new verinum((uint64_t)1, integer_width)));
2132 # tmp->push_back(index);
2133 # $$ = tmp;
2134 # }
2135 ()
2136 def p_variable_dimension_3(p):
2137 '''variable_dimension : '[' ']' '''
2138 print('variable_dimension', list(p))
2139 # { list<pform_range_t> *tmp = new list<pform_range_t>;
2140 # pform_range_t index (0,0);
2141 # tmp->push_back(index);
2142 # $$ = tmp;
2143 # }
2144 ()
2145 def p_variable_dimension_4(p):
2146 '''variable_dimension : '[' '$' ']' '''
2147 print('variable_dimension', list(p))
2148 # { // SystemVerilog queue
2149 # list<pform_range_t> *tmp = new list<pform_range_t>;
2150 # pform_range_t index (new PENull,0);
2151 # if (!gn_system_verilog()) {
2152 # yyerror("error: Queue declarations require SystemVerilog.");
2153 # }
2154 # tmp->push_back(index);
2155 # $$ = tmp;
2156 # }
2157 ()
2158 def p_variable_lifetime_1(p):
2159 '''variable_lifetime : lifetime '''
2160 print('variable_lifetime', list(p))
2161 # { if (!gn_system_verilog()) {
2162 # yyerror(@1, "error: overriding the default variable lifetime "
2163 # "requires SystemVerilog.");
2164 # } else if ($1 != pform_peek_scope()->default_lifetime) {
2165 # yyerror(@1, "sorry: overriding the default variable lifetime "
2166 # "is not yet supported.");
2167 # }
2168 # var_lifetime = $1;
2169 # }
2170 ()
2171 def p_attribute_list_opt_1(p):
2172 '''attribute_list_opt : attribute_instance_list '''
2173 print('attribute_list_opt', list(p))
2174 # { $$ = $1; }
2175 ()
2176 def p_attribute_list_opt_2(p):
2177 '''attribute_list_opt : '''
2178 print('attribute_list_opt', list(p))
2179 # { $$ = 0; }
2180 ()
2181 def p_attribute_instance_list_1(p):
2182 '''attribute_instance_list : K_PSTAR K_STARP '''
2183 print('attribute_instance_list', list(p))
2184 # { $$ = 0; }
2185 ()
2186 def p_attribute_instance_list_2(p):
2187 '''attribute_instance_list : K_PSTAR attribute_list K_STARP '''
2188 print('attribute_instance_list', list(p))
2189 # { $$ = $2; }
2190 ()
2191 def p_attribute_instance_list_3(p):
2192 '''attribute_instance_list : attribute_instance_list K_PSTAR K_STARP '''
2193 print('attribute_instance_list', list(p))
2194 # { $$ = $1; }
2195 ()
2196 def p_attribute_instance_list_4(p):
2197 '''attribute_instance_list : attribute_instance_list K_PSTAR attribute_list K_STARP '''
2198 print('attribute_instance_list', list(p))
2199 # { list<named_pexpr_t>*tmp = $1;
2200 # if (tmp) {
2201 # tmp->splice(tmp->end(), *$3);
2202 # delete $3;
2203 # $$ = tmp;
2204 # } else $$ = $3;
2205 # }
2206 ()
2207 def p_attribute_list_1(p):
2208 '''attribute_list : attribute_list ',' attribute '''
2209 print('attribute_list', list(p))
2210 # { list<named_pexpr_t>*tmp = $1;
2211 # tmp->push_back(*$3);
2212 # delete $3;
2213 # $$ = tmp;
2214 # }
2215 ()
2216 def p_attribute_list_2(p):
2217 '''attribute_list : attribute '''
2218 print('attribute_list', list(p))
2219 # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
2220 # tmp->push_back(*$1);
2221 # delete $1;
2222 # $$ = tmp;
2223 # }
2224 ()
2225 def p_attribute_1(p):
2226 '''attribute : IDENTIFIER '''
2227 print('attribute', list(p))
2228 # { named_pexpr_t*tmp = new named_pexpr_t;
2229 # tmp->name = lex_strings.make($1);
2230 # tmp->parm = 0;
2231 # delete[]$1;
2232 # $$ = tmp;
2233 # }
2234 ()
2235 def p_attribute_2(p):
2236 '''attribute : IDENTIFIER '=' expression '''
2237 print('attribute', list(p))
2238 # { PExpr*tmp = $3;
2239 # named_pexpr_t*tmp2 = new named_pexpr_t;
2240 # tmp2->name = lex_strings.make($1);
2241 # tmp2->parm = tmp;
2242 # delete[]$1;
2243 # $$ = tmp2;
2244 # }
2245 ()
2246 def p_block_item_decl_1(p):
2247 '''block_item_decl : data_type register_variable_list ';' '''
2248 print('block_item_decl', list(p))
2249 # { if ($1) pform_set_data_type(@1, $1, $2, NetNet::REG, attributes_in_context);
2250 # }
2251 ()
2252 def p_block_item_decl_2(p):
2253 '''block_item_decl : variable_lifetime data_type register_variable_list ';' '''
2254 print('block_item_decl', list(p))
2255 # { if ($2) pform_set_data_type(@2, $2, $3, NetNet::REG, attributes_in_context);
2256 # var_lifetime = LexicalScope::INHERITED;
2257 # }
2258 ()
2259 def p_block_item_decl_3(p):
2260 '''block_item_decl : K_reg data_type register_variable_list ';' '''
2261 print('block_item_decl', list(p))
2262 # { if ($2) pform_set_data_type(@2, $2, $3, NetNet::REG, attributes_in_context);
2263 # }
2264 ()
2265 def p_block_item_decl_4(p):
2266 '''block_item_decl : variable_lifetime K_reg data_type register_variable_list ';' '''
2267 print('block_item_decl', list(p))
2268 # { if ($3) pform_set_data_type(@3, $3, $4, NetNet::REG, attributes_in_context);
2269 # var_lifetime = LexicalScope::INHERITED;
2270 # }
2271 ()
2272 def p_block_item_decl_5(p):
2273 '''block_item_decl : K_event event_variable_list ';' '''
2274 print('block_item_decl', list(p))
2275 # { if ($2) pform_make_events($2, @1.text, @1.first_line);
2276 # }
2277 ()
2278 def p_block_item_decl_6(p):
2279 '''block_item_decl : K_parameter param_type parameter_assign_list ';' '''
2280 print('block_item_decl', list(p))
2281 ()
2282 def p_block_item_decl_7(p):
2283 '''block_item_decl : K_localparam param_type localparam_assign_list ';' '''
2284 print('block_item_decl', list(p))
2285 ()
2286 def p_block_item_decl_8(p):
2287 '''block_item_decl : type_declaration '''
2288 print('block_item_decl', list(p))
2289 ()
2290 def p_block_item_decl_9(p):
2291 '''block_item_decl : K_integer error ';' '''
2292 print('block_item_decl', list(p))
2293 # { yyerror(@1, "error: syntax error in integer variable list.");
2294 # yyerrok;
2295 # }
2296 ()
2297 def p_block_item_decl_10(p):
2298 '''block_item_decl : K_time error ';' '''
2299 print('block_item_decl', list(p))
2300 # { yyerror(@1, "error: syntax error in time variable list.");
2301 # yyerrok;
2302 # }
2303 ()
2304 def p_block_item_decl_11(p):
2305 '''block_item_decl : K_parameter error ';' '''
2306 print('block_item_decl', list(p))
2307 # { yyerror(@1, "error: syntax error in parameter list.");
2308 # yyerrok;
2309 # }
2310 ()
2311 def p_block_item_decl_12(p):
2312 '''block_item_decl : K_localparam error ';' '''
2313 print('block_item_decl', list(p))
2314 # { yyerror(@1, "error: syntax error localparam list.");
2315 # yyerrok;
2316 # }
2317 ()
2318 def p_block_item_decls_1(p):
2319 '''block_item_decls : block_item_decl '''
2320 print('block_item_decls', list(p))
2321 ()
2322 def p_block_item_decls_2(p):
2323 '''block_item_decls : block_item_decls block_item_decl '''
2324 print('block_item_decls', list(p))
2325 ()
2326 def p_block_item_decls_opt_1(p):
2327 '''block_item_decls_opt : block_item_decls '''
2328 print('block_item_decls_opt', list(p))
2329 # { $$ = true; }
2330 ()
2331 def p_block_item_decls_opt_2(p):
2332 '''block_item_decls_opt : '''
2333 print('block_item_decls_opt', list(p))
2334 # { $$ = false; }
2335 ()
2336 def p_type_declaration_1(p):
2337 '''type_declaration : K_typedef data_type IDENTIFIER dimensions_opt ';' '''
2338 print('type_declaration', list(p))
2339 # { perm_string name = lex_strings.make($3);
2340 # pform_set_typedef(name, $2, $4);
2341 # delete[]$3;
2342 # }
2343 ()
2344 def p_type_declaration_2(p):
2345 '''type_declaration : K_typedef data_type TYPE_IDENTIFIER ';' '''
2346 print('type_declaration', list(p))
2347 # { perm_string name = lex_strings.make($3.text);
2348 # if (pform_test_type_identifier_local(name)) {
2349 # yyerror(@3, "error: Typedef identifier \"%s\" is already a type name.", $3.text);
2350 #
2351 # } else {
2352 # pform_set_typedef(name, $2, NULL);
2353 # }
2354 # delete[]$3.text;
2355 # }
2356 ()
2357 def p_type_declaration_3(p):
2358 '''type_declaration : K_typedef K_class IDENTIFIER ';' '''
2359 print('type_declaration', list(p))
2360 # { // Create a synthetic typedef for the class name so that the
2361 # // lexor detects the name as a type.
2362 # perm_string name = lex_strings.make($3);
2363 # class_type_t*tmp = new class_type_t(name);
2364 # FILE_NAME(tmp, @3);
2365 # pform_set_typedef(name, tmp, NULL);
2366 # delete[]$3;
2367 # }
2368 ()
2369 def p_type_declaration_4(p):
2370 '''type_declaration : K_typedef K_enum IDENTIFIER ';' '''
2371 print('type_declaration', list(p))
2372 # { yyerror(@1, "sorry: Enum forward declarations not supported yet."); }
2373 ()
2374 def p_type_declaration_5(p):
2375 '''type_declaration : K_typedef K_struct IDENTIFIER ';' '''
2376 print('type_declaration', list(p))
2377 # { yyerror(@1, "sorry: Struct forward declarations not supported yet."); }
2378 ()
2379 def p_type_declaration_6(p):
2380 '''type_declaration : K_typedef K_union IDENTIFIER ';' '''
2381 print('type_declaration', list(p))
2382 # { yyerror(@1, "sorry: Union forward declarations not supported yet."); }
2383 ()
2384 def p_type_declaration_7(p):
2385 '''type_declaration : K_typedef IDENTIFIER ';' '''
2386 print('type_declaration', list(p))
2387 # { // Create a synthetic typedef for the class name so that the
2388 # // lexor detects the name as a type.
2389 # perm_string name = lex_strings.make($2);
2390 # class_type_t*tmp = new class_type_t(name);
2391 # FILE_NAME(tmp, @2);
2392 # pform_set_typedef(name, tmp, NULL);
2393 # delete[]$2;
2394 # }
2395 ()
2396 def p_type_declaration_8(p):
2397 '''type_declaration : K_typedef error ';' '''
2398 print('type_declaration', list(p))
2399 # { yyerror(@2, "error: Syntax error in typedef clause.");
2400 # yyerrok;
2401 # }
2402 ()
2403 def p_enum_data_type_1(p):
2404 '''enum_data_type : K_enum '{' enum_name_list '}' '''
2405 print('enum_data_type', list(p))
2406 # { enum_type_t*enum_type = new enum_type_t;
2407 # FILE_NAME(enum_type, @1);
2408 # enum_type->names .reset($3);
2409 # enum_type->base_type = IVL_VT_BOOL;
2410 # enum_type->signed_flag = true;
2411 # enum_type->integer_flag = false;
2412 # enum_type->range.reset(make_range_from_width(32));
2413 # $$ = enum_type;
2414 # }
2415 ()
2416 def p_enum_data_type_2(p):
2417 '''enum_data_type : K_enum atom2_type signed_unsigned_opt '{' enum_name_list '}' '''
2418 print('enum_data_type', list(p))
2419 # { enum_type_t*enum_type = new enum_type_t;
2420 # FILE_NAME(enum_type, @1);
2421 # enum_type->names .reset($5);
2422 # enum_type->base_type = IVL_VT_BOOL;
2423 # enum_type->signed_flag = $3;
2424 # enum_type->integer_flag = false;
2425 # enum_type->range.reset(make_range_from_width($2));
2426 # $$ = enum_type;
2427 # }
2428 ()
2429 def p_enum_data_type_3(p):
2430 '''enum_data_type : K_enum K_integer signed_unsigned_opt '{' enum_name_list '}' '''
2431 print('enum_data_type', list(p))
2432 # { enum_type_t*enum_type = new enum_type_t;
2433 # FILE_NAME(enum_type, @1);
2434 # enum_type->names .reset($5);
2435 # enum_type->base_type = IVL_VT_LOGIC;
2436 # enum_type->signed_flag = $3;
2437 # enum_type->integer_flag = true;
2438 # enum_type->range.reset(make_range_from_width(integer_width));
2439 # $$ = enum_type;
2440 # }
2441 ()
2442 def p_enum_data_type_4(p):
2443 '''enum_data_type : K_enum K_logic unsigned_signed_opt dimensions_opt '{' enum_name_list '}' '''
2444 print('enum_data_type', list(p))
2445 # { enum_type_t*enum_type = new enum_type_t;
2446 # FILE_NAME(enum_type, @1);
2447 # enum_type->names .reset($6);
2448 # enum_type->base_type = IVL_VT_LOGIC;
2449 # enum_type->signed_flag = $3;
2450 # enum_type->integer_flag = false;
2451 # enum_type->range.reset($4 ? $4 : make_range_from_width(1));
2452 # $$ = enum_type;
2453 # }
2454 ()
2455 def p_enum_data_type_5(p):
2456 '''enum_data_type : K_enum K_reg unsigned_signed_opt dimensions_opt '{' enum_name_list '}' '''
2457 print('enum_data_type', list(p))
2458 # { enum_type_t*enum_type = new enum_type_t;
2459 # FILE_NAME(enum_type, @1);
2460 # enum_type->names .reset($6);
2461 # enum_type->base_type = IVL_VT_LOGIC;
2462 # enum_type->signed_flag = $3;
2463 # enum_type->integer_flag = false;
2464 # enum_type->range.reset($4 ? $4 : make_range_from_width(1));
2465 # $$ = enum_type;
2466 # }
2467 ()
2468 def p_enum_data_type_6(p):
2469 '''enum_data_type : K_enum K_bit unsigned_signed_opt dimensions_opt '{' enum_name_list '}' '''
2470 print('enum_data_type', list(p))
2471 # { enum_type_t*enum_type = new enum_type_t;
2472 # FILE_NAME(enum_type, @1);
2473 # enum_type->names .reset($6);
2474 # enum_type->base_type = IVL_VT_BOOL;
2475 # enum_type->signed_flag = $3;
2476 # enum_type->integer_flag = false;
2477 # enum_type->range.reset($4 ? $4 : make_range_from_width(1));
2478 # $$ = enum_type;
2479 # }
2480 ()
2481 def p_enum_name_list_1(p):
2482 '''enum_name_list : enum_name '''
2483 print('enum_name_list', list(p))
2484 # { $$ = $1;
2485 # }
2486 ()
2487 def p_enum_name_list_2(p):
2488 '''enum_name_list : enum_name_list ',' enum_name '''
2489 print('enum_name_list', list(p))
2490 # { list<named_pexpr_t>*lst = $1;
2491 # lst->splice(lst->end(), *$3);
2492 # delete $3;
2493 # $$ = lst;
2494 # }
2495 ()
2496 def p_pos_neg_number_1(p):
2497 '''pos_neg_number : number '''
2498 print('pos_neg_number', list(p))
2499 # { $$ = $1;
2500 # }
2501 ()
2502 def p_pos_neg_number_2(p):
2503 '''pos_neg_number : '-' number '''
2504 print('pos_neg_number', list(p))
2505 # { verinum tmp = -(*($2));
2506 # *($2) = tmp;
2507 # $$ = $2;
2508 # }
2509 ()
2510 def p_enum_name_1(p):
2511 '''enum_name : IDENTIFIER '''
2512 print('enum_name', list(p))
2513 # { perm_string name = lex_strings.make($1);
2514 # delete[]$1;
2515 # $$ = make_named_number(name);
2516 # }
2517 ()
2518 def p_enum_name_2(p):
2519 '''enum_name : IDENTIFIER '[' pos_neg_number ']' '''
2520 print('enum_name', list(p))
2521 # { perm_string name = lex_strings.make($1);
2522 # long count = check_enum_seq_value(@1, $3, false);
2523 # delete[]$1;
2524 # $$ = make_named_numbers(name, 0, count-1);
2525 # delete $3;
2526 # }
2527 ()
2528 def p_enum_name_3(p):
2529 '''enum_name : IDENTIFIER '[' pos_neg_number ':' pos_neg_number ']' '''
2530 print('enum_name', list(p))
2531 # { perm_string name = lex_strings.make($1);
2532 # $$ = make_named_numbers(name, check_enum_seq_value(@1, $3, true),
2533 # check_enum_seq_value(@1, $5, true));
2534 # delete[]$1;
2535 # delete $3;
2536 # delete $5;
2537 # }
2538 ()
2539 def p_enum_name_4(p):
2540 '''enum_name : IDENTIFIER '=' expression '''
2541 print('enum_name', list(p))
2542 # { perm_string name = lex_strings.make($1);
2543 # delete[]$1;
2544 # $$ = make_named_number(name, $3);
2545 # }
2546 ()
2547 def p_enum_name_5(p):
2548 '''enum_name : IDENTIFIER '[' pos_neg_number ']' '=' expression '''
2549 print('enum_name', list(p))
2550 # { perm_string name = lex_strings.make($1);
2551 # long count = check_enum_seq_value(@1, $3, false);
2552 # $$ = make_named_numbers(name, 0, count-1, $6);
2553 # delete[]$1;
2554 # delete $3;
2555 # }
2556 ()
2557 def p_enum_name_6(p):
2558 '''enum_name : IDENTIFIER '[' pos_neg_number ':' pos_neg_number ']' '=' expression '''
2559 print('enum_name', list(p))
2560 # { perm_string name = lex_strings.make($1);
2561 # $$ = make_named_numbers(name, check_enum_seq_value(@1, $3, true),
2562 # check_enum_seq_value(@1, $5, true), $8);
2563 # delete[]$1;
2564 # delete $3;
2565 # delete $5;
2566 # }
2567 ()
2568 def p_struct_data_type_1(p):
2569 '''struct_data_type : K_struct K_packed_opt '{' struct_union_member_list '}' '''
2570 print('struct_data_type', list(p))
2571 # { struct_type_t*tmp = new struct_type_t;
2572 # FILE_NAME(tmp, @1);
2573 # tmp->packed_flag = $2;
2574 # tmp->union_flag = false;
2575 # tmp->members .reset($4);
2576 # $$ = tmp;
2577 # }
2578 ()
2579 def p_struct_data_type_2(p):
2580 '''struct_data_type : K_union K_packed_opt '{' struct_union_member_list '}' '''
2581 print('struct_data_type', list(p))
2582 # { struct_type_t*tmp = new struct_type_t;
2583 # FILE_NAME(tmp, @1);
2584 # tmp->packed_flag = $2;
2585 # tmp->union_flag = true;
2586 # tmp->members .reset($4);
2587 # $$ = tmp;
2588 # }
2589 ()
2590 def p_struct_data_type_3(p):
2591 '''struct_data_type : K_struct K_packed_opt '{' error '}' '''
2592 print('struct_data_type', list(p))
2593 # { yyerror(@3, "error: Errors in struct member list.");
2594 # yyerrok;
2595 # struct_type_t*tmp = new struct_type_t;
2596 # FILE_NAME(tmp, @1);
2597 # tmp->packed_flag = $2;
2598 # tmp->union_flag = false;
2599 # $$ = tmp;
2600 # }
2601 ()
2602 def p_struct_data_type_4(p):
2603 '''struct_data_type : K_union K_packed_opt '{' error '}' '''
2604 print('struct_data_type', list(p))
2605 # { yyerror(@3, "error: Errors in union member list.");
2606 # yyerrok;
2607 # struct_type_t*tmp = new struct_type_t;
2608 # FILE_NAME(tmp, @1);
2609 # tmp->packed_flag = $2;
2610 # tmp->union_flag = true;
2611 # $$ = tmp;
2612 # }
2613 ()
2614 def p_struct_union_member_list_1(p):
2615 '''struct_union_member_list : struct_union_member_list struct_union_member '''
2616 print('struct_union_member_list', list(p))
2617 # { list<struct_member_t*>*tmp = $1;
2618 # tmp->push_back($2);
2619 # $$ = tmp;
2620 # }
2621 ()
2622 def p_struct_union_member_list_2(p):
2623 '''struct_union_member_list : struct_union_member '''
2624 print('struct_union_member_list', list(p))
2625 # { list<struct_member_t*>*tmp = new list<struct_member_t*>;
2626 # tmp->push_back($1);
2627 # $$ = tmp;
2628 # }
2629 ()
2630 def p_struct_union_member_1(p):
2631 '''struct_union_member : attribute_list_opt data_type list_of_variable_decl_assignments ';' '''
2632 print('struct_union_member', list(p))
2633 # { struct_member_t*tmp = new struct_member_t;
2634 # FILE_NAME(tmp, @2);
2635 # tmp->type .reset($2);
2636 # tmp->names .reset($3);
2637 # $$ = tmp;
2638 # }
2639 ()
2640 def p_struct_union_member_2(p):
2641 '''struct_union_member : error ';' '''
2642 print('struct_union_member', list(p))
2643 # { yyerror(@2, "Error in struct/union member.");
2644 # yyerrok;
2645 # $$ = 0;
2646 # }
2647 ()
2648 def p_case_item_1(p):
2649 '''case_item : expression_list_proper ':' statement_or_null '''
2650 print('case_item', list(p))
2651 # { PCase::Item*tmp = new PCase::Item;
2652 # tmp->expr = *$1;
2653 # tmp->stat = $3;
2654 # delete $1;
2655 # $$ = tmp;
2656 # }
2657 ()
2658 def p_case_item_2(p):
2659 '''case_item : K_default ':' statement_or_null '''
2660 print('case_item', list(p))
2661 # { PCase::Item*tmp = new PCase::Item;
2662 # tmp->stat = $3;
2663 # $$ = tmp;
2664 # }
2665 ()
2666 def p_case_item_3(p):
2667 '''case_item : K_default statement_or_null '''
2668 print('case_item', list(p))
2669 # { PCase::Item*tmp = new PCase::Item;
2670 # tmp->stat = $2;
2671 # $$ = tmp;
2672 # }
2673 ()
2674 def p_case_item_4(p):
2675 '''case_item : error ':' statement_or_null '''
2676 print('case_item', list(p))
2677 # { yyerror(@2, "error: Incomprehensible case expression.");
2678 # yyerrok;
2679 # }
2680 ()
2681 def p_case_items_1(p):
2682 '''case_items : case_items case_item '''
2683 print('case_items', list(p))
2684 # { svector<PCase::Item*>*tmp;
2685 # tmp = new svector<PCase::Item*>(*$1, $2);
2686 # delete $1;
2687 # $$ = tmp;
2688 # }
2689 ()
2690 def p_case_items_2(p):
2691 '''case_items : case_item '''
2692 print('case_items', list(p))
2693 # { svector<PCase::Item*>*tmp = new svector<PCase::Item*>(1);
2694 # (*tmp)[0] = $1;
2695 # $$ = tmp;
2696 # }
2697 ()
2698 def p_charge_strength_1(p):
2699 '''charge_strength : '(' K_small ')' '''
2700 print('charge_strength', list(p))
2701 ()
2702 def p_charge_strength_2(p):
2703 '''charge_strength : '(' K_medium ')' '''
2704 print('charge_strength', list(p))
2705 ()
2706 def p_charge_strength_3(p):
2707 '''charge_strength : '(' K_large ')' '''
2708 print('charge_strength', list(p))
2709 ()
2710 def p_charge_strength_opt_1(p):
2711 '''charge_strength_opt : charge_strength '''
2712 print('charge_strength_opt', list(p))
2713 ()
2714 def p_charge_strength_opt_2(p):
2715 '''charge_strength_opt : '''
2716 print('charge_strength_opt', list(p))
2717 ()
2718 def p_defparam_assign_1(p):
2719 '''defparam_assign : hierarchy_identifier '=' expression '''
2720 print('defparam_assign', list(p))
2721 # { pform_set_defparam(*$1, $3);
2722 # delete $1;
2723 # }
2724 ()
2725 def p_defparam_assign_list_1(p):
2726 '''defparam_assign_list : defparam_assign '''
2727 print('defparam_assign_list', list(p))
2728 ()
2729 def p_defparam_assign_list_2(p):
2730 '''defparam_assign_list : dimensions defparam_assign '''
2731 print('defparam_assign_list', list(p))
2732 # { yyerror(@1, "error: defparam may not include a range.");
2733 # delete $1;
2734 # }
2735 ()
2736 def p_defparam_assign_list_3(p):
2737 '''defparam_assign_list : defparam_assign_list ',' defparam_assign '''
2738 print('defparam_assign_list', list(p))
2739 ()
2740 def p_delay1_1(p):
2741 '''delay1 : '#' delay_value_simple '''
2742 print('delay1', list(p))
2743 # { list<PExpr*>*tmp = new list<PExpr*>;
2744 # tmp->push_back($2);
2745 # $$ = tmp;
2746 # }
2747 ()
2748 def p_delay1_2(p):
2749 '''delay1 : '#' '(' delay_value ')' '''
2750 print('delay1', list(p))
2751 # { list<PExpr*>*tmp = new list<PExpr*>;
2752 # tmp->push_back($3);
2753 # $$ = tmp;
2754 # }
2755 ()
2756 def p_delay3_1(p):
2757 '''delay3 : '#' delay_value_simple '''
2758 print('delay3', list(p))
2759 # { list<PExpr*>*tmp = new list<PExpr*>;
2760 # tmp->push_back($2);
2761 # $$ = tmp;
2762 # }
2763 ()
2764 def p_delay3_2(p):
2765 '''delay3 : '#' '(' delay_value ')' '''
2766 print('delay3', list(p))
2767 # { list<PExpr*>*tmp = new list<PExpr*>;
2768 # tmp->push_back($3);
2769 # $$ = tmp;
2770 # }
2771 ()
2772 def p_delay3_3(p):
2773 '''delay3 : '#' '(' delay_value ',' delay_value ')' '''
2774 print('delay3', list(p))
2775 # { list<PExpr*>*tmp = new list<PExpr*>;
2776 # tmp->push_back($3);
2777 # tmp->push_back($5);
2778 # $$ = tmp;
2779 # }
2780 ()
2781 def p_delay3_4(p):
2782 '''delay3 : '#' '(' delay_value ',' delay_value ',' delay_value ')' '''
2783 print('delay3', list(p))
2784 # { list<PExpr*>*tmp = new list<PExpr*>;
2785 # tmp->push_back($3);
2786 # tmp->push_back($5);
2787 # tmp->push_back($7);
2788 # $$ = tmp;
2789 # }
2790 ()
2791 def p_delay3_opt_1(p):
2792 '''delay3_opt : delay3 '''
2793 print('delay3_opt', list(p))
2794 # { $$ = $1; }
2795 ()
2796 def p_delay3_opt_2(p):
2797 '''delay3_opt : '''
2798 print('delay3_opt', list(p))
2799 # { $$ = 0; }
2800 ()
2801 def p_delay_value_list_1(p):
2802 '''delay_value_list : delay_value '''
2803 print('delay_value_list', list(p))
2804 # { list<PExpr*>*tmp = new list<PExpr*>;
2805 # tmp->push_back($1);
2806 # $$ = tmp;
2807 # }
2808 ()
2809 def p_delay_value_list_2(p):
2810 '''delay_value_list : delay_value_list ',' delay_value '''
2811 print('delay_value_list', list(p))
2812 # { list<PExpr*>*tmp = $1;
2813 # tmp->push_back($3);
2814 # $$ = tmp;
2815 # }
2816 ()
2817 def p_delay_value_1(p):
2818 '''delay_value : expression '''
2819 print('delay_value', list(p))
2820 # { PExpr*tmp = $1;
2821 # $$ = tmp;
2822 # }
2823 ()
2824 def p_delay_value_2(p):
2825 '''delay_value : expression ':' expression ':' expression '''
2826 print('delay_value', list(p))
2827 # { $$ = pform_select_mtm_expr($1, $3, $5); }
2828 ()
2829 def p_delay_value_simple_1(p):
2830 '''delay_value_simple : DEC_NUMBER '''
2831 print('delay_value_simple', list(p))
2832 # { verinum*tmp = $1;
2833 # if (tmp == 0) {
2834 # yyerror(@1, "internal error: delay.");
2835 # $$ = 0;
2836 # } else {
2837 # $$ = new PENumber(tmp);
2838 # FILE_NAME($$, @1);
2839 # }
2840 # based_size = 0;
2841 # }
2842 ()
2843 def p_delay_value_simple_2(p):
2844 '''delay_value_simple : REALTIME '''
2845 print('delay_value_simple', list(p))
2846 # { verireal*tmp = $1;
2847 # if (tmp == 0) {
2848 # yyerror(@1, "internal error: delay.");
2849 # $$ = 0;
2850 # } else {
2851 # $$ = new PEFNumber(tmp);
2852 # FILE_NAME($$, @1);
2853 # }
2854 # }
2855 ()
2856 def p_delay_value_simple_3(p):
2857 '''delay_value_simple : IDENTIFIER '''
2858 print('delay_value_simple', list(p))
2859 # { PEIdent*tmp = new PEIdent(lex_strings.make($1));
2860 # FILE_NAME(tmp, @1);
2861 # $$ = tmp;
2862 # delete[]$1;
2863 # }
2864 ()
2865 def p_delay_value_simple_4(p):
2866 '''delay_value_simple : TIME_LITERAL '''
2867 print('delay_value_simple', list(p))
2868 # { int unit;
2869 #
2870 # based_size = 0;
2871 # $$ = 0;
2872 # if ($1 == 0 || !get_time_unit($1, unit))
2873 # yyerror(@1, "internal error: delay.");
2874 # else {
2875 # double p = pow(10.0,
2876 # (double)(unit - pform_get_timeunit()));
2877 # double time = atof($1) * p;
2878 #
2879 # verireal *v = new verireal(time);
2880 # $$ = new PEFNumber(v);
2881 # FILE_NAME($$, @1);
2882 # }
2883 # }
2884 ()
2885 def p_optional_semicolon_1(p):
2886 '''optional_semicolon : ';' '''
2887 print('optional_semicolon', list(p))
2888 ()
2889 def p_optional_semicolon_2(p):
2890 '''optional_semicolon : '''
2891 print('optional_semicolon', list(p))
2892 ()
2893 def p_discipline_declaration_1(p):
2894 '''discipline_declaration : K_discipline IDENTIFIER optional_semicolon _embed0_discipline_declaration discipline_items K_enddiscipline '''
2895 print('discipline_declaration', list(p))
2896 # { pform_end_discipline(@1); delete[] $2; }
2897 ()
2898 def p__embed0_discipline_declaration(p):
2899 '''_embed0_discipline_declaration : '''
2900 # { pform_start_discipline($2); }
2901 ()
2902 def p_discipline_items_1(p):
2903 '''discipline_items : discipline_items discipline_item '''
2904 print('discipline_items', list(p))
2905 ()
2906 def p_discipline_items_2(p):
2907 '''discipline_items : discipline_item '''
2908 print('discipline_items', list(p))
2909 ()
2910 def p_discipline_item_1(p):
2911 '''discipline_item : K_domain K_discrete ';' '''
2912 print('discipline_item', list(p))
2913 # { pform_discipline_domain(@1, IVL_DIS_DISCRETE); }
2914 ()
2915 def p_discipline_item_2(p):
2916 '''discipline_item : K_domain K_continuous ';' '''
2917 print('discipline_item', list(p))
2918 # { pform_discipline_domain(@1, IVL_DIS_CONTINUOUS); }
2919 ()
2920 def p_discipline_item_3(p):
2921 '''discipline_item : K_potential IDENTIFIER ';' '''
2922 print('discipline_item', list(p))
2923 # { pform_discipline_potential(@1, $2); delete[] $2; }
2924 ()
2925 def p_discipline_item_4(p):
2926 '''discipline_item : K_flow IDENTIFIER ';' '''
2927 print('discipline_item', list(p))
2928 # { pform_discipline_flow(@1, $2); delete[] $2; }
2929 ()
2930 def p_nature_declaration_1(p):
2931 '''nature_declaration : K_nature IDENTIFIER optional_semicolon _embed0_nature_declaration nature_items K_endnature '''
2932 print('nature_declaration', list(p))
2933 # { pform_end_nature(@1); delete[] $2; }
2934 ()
2935 def p__embed0_nature_declaration(p):
2936 '''_embed0_nature_declaration : '''
2937 # { pform_start_nature($2); }
2938 ()
2939 def p_nature_items_1(p):
2940 '''nature_items : nature_items nature_item '''
2941 print('nature_items', list(p))
2942 ()
2943 def p_nature_items_2(p):
2944 '''nature_items : nature_item '''
2945 print('nature_items', list(p))
2946 ()
2947 def p_nature_item_1(p):
2948 '''nature_item : K_units '=' STRING ';' '''
2949 print('nature_item', list(p))
2950 # { delete[] $3; }
2951 ()
2952 def p_nature_item_2(p):
2953 '''nature_item : K_abstol '=' expression ';' '''
2954 print('nature_item', list(p))
2955 ()
2956 def p_nature_item_3(p):
2957 '''nature_item : K_access '=' IDENTIFIER ';' '''
2958 print('nature_item', list(p))
2959 # { pform_nature_access(@1, $3); delete[] $3; }
2960 ()
2961 def p_nature_item_4(p):
2962 '''nature_item : K_idt_nature '=' IDENTIFIER ';' '''
2963 print('nature_item', list(p))
2964 # { delete[] $3; }
2965 ()
2966 def p_nature_item_5(p):
2967 '''nature_item : K_ddt_nature '=' IDENTIFIER ';' '''
2968 print('nature_item', list(p))
2969 # { delete[] $3; }
2970 ()
2971 def p_config_declaration_1(p):
2972 '''config_declaration : K_config IDENTIFIER ';' K_design lib_cell_identifiers ';' list_of_config_rule_statements K_endconfig '''
2973 print('config_declaration', list(p))
2974 # { cerr << @1 << ": sorry: config declarations are not supported and "
2975 # "will be skipped." << endl;
2976 # delete[] $2;
2977 # }
2978 ()
2979 def p_lib_cell_identifiers_1(p):
2980 '''lib_cell_identifiers : '''
2981 print('lib_cell_identifiers', list(p))
2982 ()
2983 def p_lib_cell_identifiers_2(p):
2984 '''lib_cell_identifiers : lib_cell_identifiers lib_cell_id '''
2985 print('lib_cell_identifiers', list(p))
2986 ()
2987 def p_list_of_config_rule_statements_1(p):
2988 '''list_of_config_rule_statements : '''
2989 print('list_of_config_rule_statements', list(p))
2990 ()
2991 def p_list_of_config_rule_statements_2(p):
2992 '''list_of_config_rule_statements : list_of_config_rule_statements config_rule_statement '''
2993 print('list_of_config_rule_statements', list(p))
2994 ()
2995 def p_config_rule_statement_1(p):
2996 '''config_rule_statement : K_default K_liblist list_of_libraries ';' '''
2997 print('config_rule_statement', list(p))
2998 ()
2999 def p_config_rule_statement_2(p):
3000 '''config_rule_statement : K_instance hierarchy_identifier K_liblist list_of_libraries ';' '''
3001 print('config_rule_statement', list(p))
3002 # { delete $2; }
3003 ()
3004 def p_config_rule_statement_3(p):
3005 '''config_rule_statement : K_instance hierarchy_identifier K_use lib_cell_id opt_config ';' '''
3006 print('config_rule_statement', list(p))
3007 # { delete $2; }
3008 ()
3009 def p_config_rule_statement_4(p):
3010 '''config_rule_statement : K_cell lib_cell_id K_liblist list_of_libraries ';' '''
3011 print('config_rule_statement', list(p))
3012 ()
3013 def p_config_rule_statement_5(p):
3014 '''config_rule_statement : K_cell lib_cell_id K_use lib_cell_id opt_config ';' '''
3015 print('config_rule_statement', list(p))
3016 ()
3017 def p_opt_config_1(p):
3018 '''opt_config : '''
3019 print('opt_config', list(p))
3020 ()
3021 def p_opt_config_2(p):
3022 '''opt_config : ':' K_config '''
3023 print('opt_config', list(p))
3024 ()
3025 def p_lib_cell_id_1(p):
3026 '''lib_cell_id : IDENTIFIER '''
3027 print('lib_cell_id', list(p))
3028 # { delete[] $1; }
3029 ()
3030 def p_lib_cell_id_2(p):
3031 '''lib_cell_id : IDENTIFIER '.' IDENTIFIER '''
3032 print('lib_cell_id', list(p))
3033 # { delete[] $1; delete[] $3; }
3034 ()
3035 def p_list_of_libraries_1(p):
3036 '''list_of_libraries : '''
3037 print('list_of_libraries', list(p))
3038 ()
3039 def p_list_of_libraries_2(p):
3040 '''list_of_libraries : list_of_libraries IDENTIFIER '''
3041 print('list_of_libraries', list(p))
3042 # { delete[] $2; }
3043 ()
3044 def p_drive_strength_1(p):
3045 '''drive_strength : '(' dr_strength0 ',' dr_strength1 ')' '''
3046 print('drive_strength', list(p))
3047 # { $$.str0 = $2.str0;
3048 # $$.str1 = $4.str1;
3049 # }
3050 ()
3051 def p_drive_strength_2(p):
3052 '''drive_strength : '(' dr_strength1 ',' dr_strength0 ')' '''
3053 print('drive_strength', list(p))
3054 # { $$.str0 = $4.str0;
3055 # $$.str1 = $2.str1;
3056 # }
3057 ()
3058 def p_drive_strength_3(p):
3059 '''drive_strength : '(' dr_strength0 ',' K_highz1 ')' '''
3060 print('drive_strength', list(p))
3061 # { $$.str0 = $2.str0;
3062 # $$.str1 = IVL_DR_HiZ;
3063 # }
3064 ()
3065 def p_drive_strength_4(p):
3066 '''drive_strength : '(' dr_strength1 ',' K_highz0 ')' '''
3067 print('drive_strength', list(p))
3068 # { $$.str0 = IVL_DR_HiZ;
3069 # $$.str1 = $2.str1;
3070 # }
3071 ()
3072 def p_drive_strength_5(p):
3073 '''drive_strength : '(' K_highz1 ',' dr_strength0 ')' '''
3074 print('drive_strength', list(p))
3075 # { $$.str0 = $4.str0;
3076 # $$.str1 = IVL_DR_HiZ;
3077 # }
3078 ()
3079 def p_drive_strength_6(p):
3080 '''drive_strength : '(' K_highz0 ',' dr_strength1 ')' '''
3081 print('drive_strength', list(p))
3082 # { $$.str0 = IVL_DR_HiZ;
3083 # $$.str1 = $4.str1;
3084 # }
3085 ()
3086 def p_drive_strength_opt_1(p):
3087 '''drive_strength_opt : drive_strength '''
3088 print('drive_strength_opt', list(p))
3089 # { $$ = $1; }
3090 ()
3091 def p_drive_strength_opt_2(p):
3092 '''drive_strength_opt : '''
3093 print('drive_strength_opt', list(p))
3094 # { $$.str0 = IVL_DR_STRONG; $$.str1 = IVL_DR_STRONG; }
3095 ()
3096 def p_dr_strength0_1(p):
3097 '''dr_strength0 : K_supply0 '''
3098 print('dr_strength0', list(p))
3099 # { $$.str0 = IVL_DR_SUPPLY; }
3100 ()
3101 def p_dr_strength0_2(p):
3102 '''dr_strength0 : K_strong0 '''
3103 print('dr_strength0', list(p))
3104 # { $$.str0 = IVL_DR_STRONG; }
3105 ()
3106 def p_dr_strength0_3(p):
3107 '''dr_strength0 : K_pull0 '''
3108 print('dr_strength0', list(p))
3109 # { $$.str0 = IVL_DR_PULL; }
3110 ()
3111 def p_dr_strength0_4(p):
3112 '''dr_strength0 : K_weak0 '''
3113 print('dr_strength0', list(p))
3114 # { $$.str0 = IVL_DR_WEAK; }
3115 ()
3116 def p_dr_strength1_1(p):
3117 '''dr_strength1 : K_supply1 '''
3118 print('dr_strength1', list(p))
3119 # { $$.str1 = IVL_DR_SUPPLY; }
3120 ()
3121 def p_dr_strength1_2(p):
3122 '''dr_strength1 : K_strong1 '''
3123 print('dr_strength1', list(p))
3124 # { $$.str1 = IVL_DR_STRONG; }
3125 ()
3126 def p_dr_strength1_3(p):
3127 '''dr_strength1 : K_pull1 '''
3128 print('dr_strength1', list(p))
3129 # { $$.str1 = IVL_DR_PULL; }
3130 ()
3131 def p_dr_strength1_4(p):
3132 '''dr_strength1 : K_weak1 '''
3133 print('dr_strength1', list(p))
3134 # { $$.str1 = IVL_DR_WEAK; }
3135 ()
3136 def p_clocking_event_opt_1(p):
3137 '''clocking_event_opt : event_control '''
3138 print('clocking_event_opt', list(p))
3139 ()
3140 def p_clocking_event_opt_2(p):
3141 '''clocking_event_opt : '''
3142 print('clocking_event_opt', list(p))
3143 ()
3144 def p_event_control_1(p):
3145 '''event_control : '@' hierarchy_identifier '''
3146 print('event_control', list(p))
3147 # { PEIdent*tmpi = new PEIdent(*$2);
3148 # PEEvent*tmpe = new PEEvent(PEEvent::ANYEDGE, tmpi);
3149 # PEventStatement*tmps = new PEventStatement(tmpe);
3150 # FILE_NAME(tmps, @1);
3151 # $$ = tmps;
3152 # delete $2;
3153 # }
3154 ()
3155 def p_event_control_2(p):
3156 '''event_control : '@' '(' event_expression_list ')' '''
3157 print('event_control', list(p))
3158 # { PEventStatement*tmp = new PEventStatement(*$3);
3159 # FILE_NAME(tmp, @1);
3160 # delete $3;
3161 # $$ = tmp;
3162 # }
3163 ()
3164 def p_event_control_3(p):
3165 '''event_control : '@' '(' error ')' '''
3166 print('event_control', list(p))
3167 # { yyerror(@1, "error: Malformed event control expression.");
3168 # $$ = 0;
3169 # }
3170 ()
3171 def p_event_expression_list_1(p):
3172 '''event_expression_list : event_expression '''
3173 print('event_expression_list', list(p))
3174 # { $$ = $1; }
3175 ()
3176 def p_event_expression_list_2(p):
3177 '''event_expression_list : event_expression_list K_or event_expression '''
3178 print('event_expression_list', list(p))
3179 # { svector<PEEvent*>*tmp = new svector<PEEvent*>(*$1, *$3);
3180 # delete $1;
3181 # delete $3;
3182 # $$ = tmp;
3183 # }
3184 ()
3185 def p_event_expression_list_3(p):
3186 '''event_expression_list : event_expression_list ',' event_expression '''
3187 print('event_expression_list', list(p))
3188 # { svector<PEEvent*>*tmp = new svector<PEEvent*>(*$1, *$3);
3189 # delete $1;
3190 # delete $3;
3191 # $$ = tmp;
3192 # }
3193 ()
3194 def p_event_expression_1(p):
3195 '''event_expression : K_posedge expression '''
3196 print('event_expression', list(p))
3197 # { PEEvent*tmp = new PEEvent(PEEvent::POSEDGE, $2);
3198 # FILE_NAME(tmp, @1);
3199 # svector<PEEvent*>*tl = new svector<PEEvent*>(1);
3200 # (*tl)[0] = tmp;
3201 # $$ = tl;
3202 # }
3203 ()
3204 def p_event_expression_2(p):
3205 '''event_expression : K_negedge expression '''
3206 print('event_expression', list(p))
3207 # { PEEvent*tmp = new PEEvent(PEEvent::NEGEDGE, $2);
3208 # FILE_NAME(tmp, @1);
3209 # svector<PEEvent*>*tl = new svector<PEEvent*>(1);
3210 # (*tl)[0] = tmp;
3211 # $$ = tl;
3212 # }
3213 ()
3214 def p_event_expression_3(p):
3215 '''event_expression : expression '''
3216 print('event_expression', list(p))
3217 # { PEEvent*tmp = new PEEvent(PEEvent::ANYEDGE, $1);
3218 # FILE_NAME(tmp, @1);
3219 # svector<PEEvent*>*tl = new svector<PEEvent*>(1);
3220 # (*tl)[0] = tmp;
3221 # $$ = tl;
3222 # }
3223 ()
3224 def p_branch_probe_expression_1(p):
3225 '''branch_probe_expression : IDENTIFIER '(' IDENTIFIER ',' IDENTIFIER ')' '''
3226 print('branch_probe_expression', list(p))
3227 # { $$ = pform_make_branch_probe_expression(@1, $1, $3, $5); }
3228 ()
3229 def p_branch_probe_expression_2(p):
3230 '''branch_probe_expression : IDENTIFIER '(' IDENTIFIER ')' '''
3231 print('branch_probe_expression', list(p))
3232 # { $$ = pform_make_branch_probe_expression(@1, $1, $3); }
3233 ()
3234 def p_expression_1(p):
3235 '''expression : expr_primary_or_typename '''
3236 print('expression1', list(p))
3237 p[0] = p[1]
3238 # { $$ = $1; }
3239 ()
3240 def p_expression_2(p):
3241 '''expression : inc_or_dec_expression '''
3242 print('expression2', list(p))
3243 # { $$ = $1; }
3244 ()
3245 def p_expression_3(p):
3246 '''expression : inside_expression '''
3247 print('expression3', list(p))
3248 # { $$ = $1; }
3249 ()
3250 def p_expression_4(p):
3251 '''expression : '+' attribute_list_opt expr_primary %prec UNARY_PREC '''
3252 print('expression4', list(p))
3253 # { $$ = $3; }
3254 ()
3255 def p_expression_5(p):
3256 '''expression : '-' attribute_list_opt expr_primary %prec UNARY_PREC '''
3257 print('expression5', list(p))
3258 # { PEUnary*tmp = new PEUnary('-', $3);
3259 # FILE_NAME(tmp, @3);
3260 # $$ = tmp;
3261 # }
3262 ()
3263 def p_expression_6(p):
3264 '''expression : '~' attribute_list_opt expr_primary %prec UNARY_PREC '''
3265 print('expression6', list(p))
3266 # { PEUnary*tmp = new PEUnary('~', $3);
3267 # FILE_NAME(tmp, @3);
3268 # $$ = tmp;
3269 # }
3270 ()
3271 def p_expression_7(p):
3272 '''expression : '&' attribute_list_opt expr_primary %prec UNARY_PREC '''
3273 print('expression7', list(p))
3274 # { PEUnary*tmp = new PEUnary('&', $3);
3275 # FILE_NAME(tmp, @3);
3276 # $$ = tmp;
3277 # }
3278 ()
3279 def p_expression_8(p):
3280 '''expression : '!' attribute_list_opt expr_primary %prec UNARY_PREC '''
3281 print('expression8', list(p))
3282 # { PEUnary*tmp = new PEUnary('!', $3);
3283 # FILE_NAME(tmp, @3);
3284 # $$ = tmp;
3285 # }
3286 ()
3287 def p_expression_9(p):
3288 '''expression : '|' attribute_list_opt expr_primary %prec UNARY_PREC '''
3289 print('expression9', list(p))
3290 # { PEUnary*tmp = new PEUnary('|', $3);
3291 # FILE_NAME(tmp, @3);
3292 # $$ = tmp;
3293 # }
3294 ()
3295 def p_expression_10(p):
3296 '''expression : '^' attribute_list_opt expr_primary %prec UNARY_PREC '''
3297 print('expression10', list(p))
3298 # { PEUnary*tmp = new PEUnary('^', $3);
3299 # FILE_NAME(tmp, @3);
3300 # $$ = tmp;
3301 # }
3302 ()
3303 def p_expression_11(p):
3304 '''expression : '~' '&' attribute_list_opt expr_primary %prec UNARY_PREC '''
3305 print('expression', list(p))
3306 # { yyerror(@1, "error: '~' '&' is not a valid expression. "
3307 # "Please use operator '~&' instead.");
3308 # $$ = 0;
3309 # }
3310 ()
3311 def p_expression_12(p):
3312 '''expression : '~' '|' attribute_list_opt expr_primary %prec UNARY_PREC '''
3313 print('expression', list(p))
3314 # { yyerror(@1, "error: '~' '|' is not a valid expression. "
3315 # "Please use operator '~|' instead.");
3316 # $$ = 0;
3317 # }
3318 ()
3319 def p_expression_13(p):
3320 '''expression : '~' '^' attribute_list_opt expr_primary %prec UNARY_PREC '''
3321 print('expression', list(p))
3322 # { yyerror(@1, "error: '~' '^' is not a valid expression. "
3323 # "Please use operator '~^' instead.");
3324 # $$ = 0;
3325 # }
3326 ()
3327 def p_expression_14(p):
3328 '''expression : K_NAND attribute_list_opt expr_primary %prec UNARY_PREC '''
3329 print('expression', list(p))
3330 # { PEUnary*tmp = new PEUnary('A', $3);
3331 # FILE_NAME(tmp, @3);
3332 # $$ = tmp;
3333 # }
3334 ()
3335 def p_expression_15(p):
3336 '''expression : K_NOR attribute_list_opt expr_primary %prec UNARY_PREC '''
3337 print('expression', list(p))
3338 # { PEUnary*tmp = new PEUnary('N', $3);
3339 # FILE_NAME(tmp, @3);
3340 # $$ = tmp;
3341 # }
3342 ()
3343 def p_expression_16(p):
3344 '''expression : K_NXOR attribute_list_opt expr_primary %prec UNARY_PREC '''
3345 print('expression', list(p))
3346 # { PEUnary*tmp = new PEUnary('X', $3);
3347 # FILE_NAME(tmp, @3);
3348 # $$ = tmp;
3349 # }
3350 ()
3351 def p_expression_17(p):
3352 '''expression : '!' error %prec UNARY_PREC '''
3353 print('expression', list(p))
3354 # { yyerror(@1, "error: Operand of unary ! "
3355 # "is not a primary expression.");
3356 # $$ = 0;
3357 # }
3358 ()
3359 def p_expression_18(p):
3360 '''expression : '^' error %prec UNARY_PREC '''
3361 print('expression', list(p))
3362 # { yyerror(@1, "error: Operand of reduction ^ "
3363 # "is not a primary expression.");
3364 # $$ = 0;
3365 # }
3366 ()
3367 def p_expression_19(p):
3368 '''expression : expression '^' attribute_list_opt expression '''
3369 print('expression', list(p))
3370 # { PEBinary*tmp = new PEBinary('^', $1, $4);
3371 # FILE_NAME(tmp, @2);
3372 # $$ = tmp;
3373 # }
3374 ()
3375 def p_expression_20(p):
3376 '''expression : expression K_POW attribute_list_opt expression '''
3377 print('expression', list(p))
3378 # { PEBinary*tmp = new PEBPower('p', $1, $4);
3379 # FILE_NAME(tmp, @2);
3380 # $$ = tmp;
3381 # }
3382 ()
3383 def p_expression_21(p):
3384 '''expression : expression '*' attribute_list_opt expression '''
3385 print('expression', list(p))
3386 # { PEBinary*tmp = new PEBinary('*', $1, $4);
3387 # FILE_NAME(tmp, @2);
3388 # $$ = tmp;
3389 # }
3390 ()
3391 def p_expression_22(p):
3392 '''expression : expression '/' attribute_list_opt expression '''
3393 print('expression', list(p))
3394 # { PEBinary*tmp = new PEBinary('/', $1, $4);
3395 # FILE_NAME(tmp, @2);
3396 # $$ = tmp;
3397 # }
3398 ()
3399 def p_expression_23(p):
3400 '''expression : expression '%' attribute_list_opt expression '''
3401 print('expression', list(p))
3402 # { PEBinary*tmp = new PEBinary('%', $1, $4);
3403 # FILE_NAME(tmp, @2);
3404 # $$ = tmp;
3405 # }
3406 ()
3407 def p_expression_24(p):
3408 '''expression : expression '+' attribute_list_opt expression '''
3409 print('expression', list(p))
3410 # { PEBinary*tmp = new PEBinary('+', $1, $4);
3411 # FILE_NAME(tmp, @2);
3412 # $$ = tmp;
3413 # }
3414 ()
3415 def p_expression_25(p):
3416 '''expression : expression '-' attribute_list_opt expression '''
3417 print('expression', list(p))
3418 # { PEBinary*tmp = new PEBinary('-', $1, $4);
3419 # FILE_NAME(tmp, @2);
3420 # $$ = tmp;
3421 # }
3422 ()
3423 def p_expression_26(p):
3424 '''expression : expression '&' attribute_list_opt expression '''
3425 print('expression', list(p))
3426 # { PEBinary*tmp = new PEBinary('&', $1, $4);
3427 # FILE_NAME(tmp, @2);
3428 # $$ = tmp;
3429 # }
3430 ()
3431 def p_expression_27(p):
3432 '''expression : expression '|' attribute_list_opt expression '''
3433 print('expression', list(p))
3434 # { PEBinary*tmp = new PEBinary('|', $1, $4);
3435 # FILE_NAME(tmp, @2);
3436 # $$ = tmp;
3437 # }
3438 ()
3439 def p_expression_28(p):
3440 '''expression : expression K_NAND attribute_list_opt expression '''
3441 print('expression', list(p))
3442 # { PEBinary*tmp = new PEBinary('A', $1, $4);
3443 # FILE_NAME(tmp, @2);
3444 # $$ = tmp;
3445 # }
3446 ()
3447 def p_expression_29(p):
3448 '''expression : expression K_NOR attribute_list_opt expression '''
3449 print('expression', list(p))
3450 # { PEBinary*tmp = new PEBinary('O', $1, $4);
3451 # FILE_NAME(tmp, @2);
3452 # $$ = tmp;
3453 # }
3454 ()
3455 def p_expression_30(p):
3456 '''expression : expression K_NXOR attribute_list_opt expression '''
3457 print('expression', list(p))
3458 # { PEBinary*tmp = new PEBinary('X', $1, $4);
3459 # FILE_NAME(tmp, @2);
3460 # $$ = tmp;
3461 # }
3462 ()
3463 def p_expression_31(p):
3464 '''expression : expression '<' attribute_list_opt expression '''
3465 print('expression', list(p))
3466 # { PEBinary*tmp = new PEBComp('<', $1, $4);
3467 # FILE_NAME(tmp, @2);
3468 # $$ = tmp;
3469 # }
3470 ()
3471 def p_expression_32(p):
3472 '''expression : expression '>' attribute_list_opt expression '''
3473 print('expression', list(p))
3474 # { PEBinary*tmp = new PEBComp('>', $1, $4);
3475 # FILE_NAME(tmp, @2);
3476 # $$ = tmp;
3477 # }
3478 ()
3479 def p_expression_33(p):
3480 '''expression : expression K_LS attribute_list_opt expression '''
3481 print('expression', list(p))
3482 # { PEBinary*tmp = new PEBShift('l', $1, $4);
3483 # FILE_NAME(tmp, @2);
3484 # $$ = tmp;
3485 # }
3486 ()
3487 def p_expression_34(p):
3488 '''expression : expression K_RS attribute_list_opt expression '''
3489 print('expression', list(p))
3490 # { PEBinary*tmp = new PEBShift('r', $1, $4);
3491 # FILE_NAME(tmp, @2);
3492 # $$ = tmp;
3493 # }
3494 ()
3495 def p_expression_35(p):
3496 '''expression : expression K_RSS attribute_list_opt expression '''
3497 print('expression', list(p))
3498 # { PEBinary*tmp = new PEBShift('R', $1, $4);
3499 # FILE_NAME(tmp, @2);
3500 # $$ = tmp;
3501 # }
3502 ()
3503 def p_expression_36(p):
3504 '''expression : expression K_EQ attribute_list_opt expression '''
3505 print('expression', list(p))
3506 # { PEBinary*tmp = new PEBComp('e', $1, $4);
3507 # FILE_NAME(tmp, @2);
3508 # $$ = tmp;
3509 # }
3510 ()
3511 def p_expression_37(p):
3512 '''expression : expression K_CEQ attribute_list_opt expression '''
3513 print('expression', list(p))
3514 # { PEBinary*tmp = new PEBComp('E', $1, $4);
3515 # FILE_NAME(tmp, @2);
3516 # $$ = tmp;
3517 # }
3518 ()
3519 def p_expression_38(p):
3520 '''expression : expression K_WEQ attribute_list_opt expression '''
3521 print('expression', list(p))
3522 # { PEBinary*tmp = new PEBComp('w', $1, $4);
3523 # FILE_NAME(tmp, @2);
3524 # $$ = tmp;
3525 # }
3526 ()
3527 def p_expression_39(p):
3528 '''expression : expression K_LE attribute_list_opt expression '''
3529 print('expression', list(p))
3530 # { PEBinary*tmp = new PEBComp('L', $1, $4);
3531 # FILE_NAME(tmp, @2);
3532 # $$ = tmp;
3533 # }
3534 ()
3535 def p_expression_40(p):
3536 '''expression : expression K_GE attribute_list_opt expression '''
3537 print('expression', list(p))
3538 # { PEBinary*tmp = new PEBComp('G', $1, $4);
3539 # FILE_NAME(tmp, @2);
3540 # $$ = tmp;
3541 # }
3542 ()
3543 def p_expression_41(p):
3544 '''expression : expression K_NE attribute_list_opt expression '''
3545 print('expression', list(p))
3546 # { PEBinary*tmp = new PEBComp('n', $1, $4);
3547 # FILE_NAME(tmp, @2);
3548 # $$ = tmp;
3549 # }
3550 ()
3551 def p_expression_42(p):
3552 '''expression : expression K_CNE attribute_list_opt expression '''
3553 print('expression', list(p))
3554 # { PEBinary*tmp = new PEBComp('N', $1, $4);
3555 # FILE_NAME(tmp, @2);
3556 # $$ = tmp;
3557 # }
3558 ()
3559 def p_expression_43(p):
3560 '''expression : expression K_WNE attribute_list_opt expression '''
3561 print('expression', list(p))
3562 # { PEBinary*tmp = new PEBComp('W', $1, $4);
3563 # FILE_NAME(tmp, @2);
3564 # $$ = tmp;
3565 # }
3566 ()
3567 def p_expression_44(p):
3568 '''expression : expression K_LOR attribute_list_opt expression '''
3569 print('expression', list(p))
3570 # { PEBinary*tmp = new PEBLogic('o', $1, $4);
3571 # FILE_NAME(tmp, @2);
3572 # $$ = tmp;
3573 # }
3574 ()
3575 def p_expression_45(p):
3576 '''expression : expression K_LAND attribute_list_opt expression '''
3577 print('expression', list(p))
3578 # { PEBinary*tmp = new PEBLogic('a', $1, $4);
3579 # FILE_NAME(tmp, @2);
3580 # $$ = tmp;
3581 # }
3582 ()
3583 def p_expression_46(p):
3584 '''expression : expression '?' attribute_list_opt expression ':' expression '''
3585 print('expression', list(p))
3586 # { PETernary*tmp = new PETernary($1, $4, $6);
3587 # FILE_NAME(tmp, @2);
3588 # $$ = tmp;
3589 # }
3590 ()
3591 def p_expr_mintypmax_1(p):
3592 '''expr_mintypmax : expression '''
3593 print('expr_mintypmax', list(p))
3594 # { $$ = $1; }
3595 ()
3596 def p_expr_mintypmax_2(p):
3597 '''expr_mintypmax : expression ':' expression ':' expression '''
3598 print('expr_mintypmax', list(p))
3599 # { switch (min_typ_max_flag) {
3600 # case MIN:
3601 # $$ = $1;
3602 # delete $3;
3603 # delete $5;
3604 # break;
3605 # case TYP:
3606 # delete $1;
3607 # $$ = $3;
3608 # delete $5;
3609 # break;
3610 # case MAX:
3611 # delete $1;
3612 # delete $3;
3613 # $$ = $5;
3614 # break;
3615 # }
3616 # if (min_typ_max_warn > 0) {
3617 # cerr << $$->get_fileline() << ": warning: choosing ";
3618 # switch (min_typ_max_flag) {
3619 # case MIN:
3620 # cerr << "min";
3621 # break;
3622 # case TYP:
3623 # cerr << "typ";
3624 # break;
3625 # case MAX:
3626 # cerr << "max";
3627 # break;
3628 # }
3629 # cerr << " expression." << endl;
3630 # min_typ_max_warn -= 1;
3631 # }
3632 # }
3633 ()
3634 def p_expression_list_with_nuls_1(p):
3635 '''expression_list_with_nuls : expression_list_with_nuls ',' expression '''
3636 print('expression_list_with_nuls', list(p))
3637 # { list<PExpr*>*tmp = $1;
3638 # tmp->push_back($3);
3639 # $$ = tmp;
3640 # }
3641 ()
3642 def p_expression_list_with_nuls_2(p):
3643 '''expression_list_with_nuls : expression '''
3644 print('expression_list_with_nuls', list(p))
3645 # { list<PExpr*>*tmp = new list<PExpr*>;
3646 # tmp->push_back($1);
3647 # $$ = tmp;
3648 # }
3649 ()
3650 def p_expression_list_with_nuls_3(p):
3651 '''expression_list_with_nuls : '''
3652 print('expression_list_with_nuls', list(p))
3653 # { list<PExpr*>*tmp = new list<PExpr*>;
3654 # tmp->push_back(0);
3655 # $$ = tmp;
3656 # }
3657 ()
3658 def p_expression_list_with_nuls_4(p):
3659 '''expression_list_with_nuls : expression_list_with_nuls ',' '''
3660 print('expression_list_with_nuls', list(p))
3661 # { list<PExpr*>*tmp = $1;
3662 # tmp->push_back(0);
3663 # $$ = tmp;
3664 # }
3665 ()
3666 def p_expression_list_proper_1(p):
3667 '''expression_list_proper : expression_list_proper ',' expression '''
3668 print('expression_list_proper', list(p))
3669 # { list<PExpr*>*tmp = $1;
3670 # tmp->push_back($3);
3671 # $$ = tmp;
3672 # }
3673 ()
3674 def p_expression_list_proper_2(p):
3675 '''expression_list_proper : expression '''
3676 print('expression_list_proper', list(p))
3677 # { list<PExpr*>*tmp = new list<PExpr*>;
3678 # tmp->push_back($1);
3679 # $$ = tmp;
3680 # }
3681 ()
3682 def p_expr_primary_or_typename_1(p):
3683 '''expr_primary_or_typename : expr_primary '''
3684 print('expr_primary_or_typename', list(p))
3685 p[0] = p[1]
3686 ()
3687 def p_expr_primary_or_typename_2(p):
3688 '''expr_primary_or_typename : TYPE_IDENTIFIER '''
3689 print('expr_primary_or_typename', list(p))
3690 p[0] = p[1]
3691 # { PETypename*tmp = new PETypename($1.type);
3692 # FILE_NAME(tmp,@1);
3693 # $$ = tmp;
3694 # delete[]$1.text;
3695 # }
3696 ()
3697 def p_expr_primary_1(p):
3698 '''expr_primary : number '''
3699 print('expr_primary1', list(p))
3700 p[0] = p[1]
3701 # { assert($1);
3702 # PENumber*tmp = new PENumber($1);
3703 # FILE_NAME(tmp, @1);
3704 # $$ = tmp;
3705 # }
3706 ()
3707 def p_expr_primary_2(p):
3708 '''expr_primary : REALTIME '''
3709 print('expr_primary', list(p))
3710 # { PEFNumber*tmp = new PEFNumber($1);
3711 # FILE_NAME(tmp, @1);
3712 # $$ = tmp;
3713 # }
3714 ()
3715 def p_expr_primary_3(p):
3716 '''expr_primary : STRING '''
3717 print('expr_primary3', list(p))
3718 # { PEString*tmp = new PEString($1);
3719 # FILE_NAME(tmp, @1);
3720 # $$ = tmp;
3721 # }
3722 ()
3723 def p_expr_primary_4(p):
3724 '''expr_primary : TIME_LITERAL '''
3725 print('expr_primary', list(p))
3726 # { int unit;
3727 #
3728 # based_size = 0;
3729 # $$ = 0;
3730 # if ($1 == 0 || !get_time_unit($1, unit))
3731 # yyerror(@1, "internal error: delay.");
3732 # else {
3733 # double p = pow(10.0, (double)(unit - pform_get_timeunit()));
3734 # double time = atof($1) * p;
3735 #
3736 # verireal *v = new verireal(time);
3737 # $$ = new PEFNumber(v);
3738 # FILE_NAME($$, @1);
3739 # }
3740 # }
3741 ()
3742 def p_expr_primary_5(p):
3743 '''expr_primary : SYSTEM_IDENTIFIER '''
3744 print('expr_primary', list(p))
3745 # { perm_string tn = lex_strings.make($1);
3746 # PECallFunction*tmp = new PECallFunction(tn);
3747 # FILE_NAME(tmp, @1);
3748 # $$ = tmp;
3749 # delete[]$1;
3750 # }
3751 ()
3752 def p_expr_primary_6(p):
3753 '''expr_primary : hierarchy_identifier '''
3754 print('expr_primary6', list(p))
3755 p[0] = p[1]
3756 # { PEIdent*tmp = pform_new_ident(*$1);
3757 # FILE_NAME(tmp, @1);
3758 # $$ = tmp;
3759 # delete $1;
3760 # }
3761 ()
3762 def p_expr_primary_7(p):
3763 '''expr_primary : PACKAGE_IDENTIFIER K_SCOPE_RES hierarchy_identifier '''
3764 print('expr_primary', list(p))
3765 # { $$ = pform_package_ident(@2, $1, $3);
3766 # delete $3;
3767 # }
3768 ()
3769 def p_expr_primary_8(p):
3770 '''expr_primary : hierarchy_identifier '(' expression_list_with_nuls ')' '''
3771 print('expr_primary', list(p))
3772 # { list<PExpr*>*expr_list = $3;
3773 # strip_tail_items(expr_list);
3774 # PECallFunction*tmp = pform_make_call_function(@1, *$1, *expr_list);
3775 # delete $1;
3776 # $$ = tmp;
3777 # }
3778 ()
3779 def p_expr_primary_9(p):
3780 '''expr_primary : implicit_class_handle '.' hierarchy_identifier '(' expression_list_with_nuls ')' '''
3781 print('expr_primary', list(p))
3782 # { pform_name_t*t_name = $1;
3783 # while (! $3->empty()) {
3784 # t_name->push_back($3->front());
3785 # $3->pop_front();
3786 # }
3787 # list<PExpr*>*expr_list = $5;
3788 # strip_tail_items(expr_list);
3789 # PECallFunction*tmp = pform_make_call_function(@1, *t_name, *expr_list);
3790 # delete $1;
3791 # delete $3;
3792 # $$ = tmp;
3793 # }
3794 ()
3795 def p_expr_primary_10(p):
3796 '''expr_primary : SYSTEM_IDENTIFIER '(' expression_list_proper ')' '''
3797 print('expr_primary', list(p))
3798 # { perm_string tn = lex_strings.make($1);
3799 # PECallFunction*tmp = new PECallFunction(tn, *$3);
3800 # FILE_NAME(tmp, @1);
3801 # delete[]$1;
3802 # $$ = tmp;
3803 # }
3804 ()
3805 def p_expr_primary_11(p):
3806 '''expr_primary : PACKAGE_IDENTIFIER K_SCOPE_RES IDENTIFIER '(' expression_list_proper ')' '''
3807 print('expr_primary', list(p))
3808 # { perm_string use_name = lex_strings.make($3);
3809 # PECallFunction*tmp = new PECallFunction($1, use_name, *$5);
3810 # FILE_NAME(tmp, @3);
3811 # delete[]$3;
3812 # $$ = tmp;
3813 # }
3814 ()
3815 def p_expr_primary_12(p):
3816 '''expr_primary : SYSTEM_IDENTIFIER '(' ')' '''
3817 print('expr_primary', list(p))
3818 # { perm_string tn = lex_strings.make($1);
3819 # const vector<PExpr*>empty;
3820 # PECallFunction*tmp = new PECallFunction(tn, empty);
3821 # FILE_NAME(tmp, @1);
3822 # delete[]$1;
3823 # $$ = tmp;
3824 # if (!gn_system_verilog()) {
3825 # yyerror(@1, "error: Empty function argument list requires SystemVerilog.");
3826 # }
3827 # }
3828 ()
3829 def p_expr_primary_13(p):
3830 '''expr_primary : implicit_class_handle '''
3831 print('expr_primary', list(p))
3832 # { PEIdent*tmp = new PEIdent(*$1);
3833 # FILE_NAME(tmp,@1);
3834 # delete $1;
3835 # $$ = tmp;
3836 # }
3837 ()
3838 def p_expr_primary_14(p):
3839 '''expr_primary : implicit_class_handle '.' hierarchy_identifier '''
3840 print('expr_primary', list(p))
3841 # { pform_name_t*t_name = $1;
3842 # while (! $3->empty()) {
3843 # t_name->push_back($3->front());
3844 # $3->pop_front();
3845 # }
3846 # PEIdent*tmp = new PEIdent(*t_name);
3847 # FILE_NAME(tmp,@1);
3848 # delete $1;
3849 # delete $3;
3850 # $$ = tmp;
3851 # }
3852 ()
3853 def p_expr_primary_15(p):
3854 '''expr_primary : K_acos '(' expression ')' '''
3855 print('expr_primary', list(p))
3856 # { perm_string tn = perm_string::literal("$acos");
3857 # PECallFunction*tmp = make_call_function(tn, $3);
3858 # FILE_NAME(tmp,@1);
3859 # $$ = tmp;
3860 # }
3861 ()
3862 def p_expr_primary_16(p):
3863 '''expr_primary : K_acosh '(' expression ')' '''
3864 print('expr_primary', list(p))
3865 # { perm_string tn = perm_string::literal("$acosh");
3866 # PECallFunction*tmp = make_call_function(tn, $3);
3867 # FILE_NAME(tmp,@1);
3868 # $$ = tmp;
3869 # }
3870 ()
3871 def p_expr_primary_17(p):
3872 '''expr_primary : K_asin '(' expression ')' '''
3873 print('expr_primary', list(p))
3874 # { perm_string tn = perm_string::literal("$asin");
3875 # PECallFunction*tmp = make_call_function(tn, $3);
3876 # FILE_NAME(tmp,@1);
3877 # $$ = tmp;
3878 # }
3879 ()
3880 def p_expr_primary_18(p):
3881 '''expr_primary : K_asinh '(' expression ')' '''
3882 print('expr_primary', list(p))
3883 # { perm_string tn = perm_string::literal("$asinh");
3884 # PECallFunction*tmp = make_call_function(tn, $3);
3885 # FILE_NAME(tmp,@1);
3886 # $$ = tmp;
3887 # }
3888 ()
3889 def p_expr_primary_19(p):
3890 '''expr_primary : K_atan '(' expression ')' '''
3891 print('expr_primary', list(p))
3892 # { perm_string tn = perm_string::literal("$atan");
3893 # PECallFunction*tmp = make_call_function(tn, $3);
3894 # FILE_NAME(tmp,@1);
3895 # $$ = tmp;
3896 # }
3897 ()
3898 def p_expr_primary_20(p):
3899 '''expr_primary : K_atanh '(' expression ')' '''
3900 print('expr_primary', list(p))
3901 # { perm_string tn = perm_string::literal("$atanh");
3902 # PECallFunction*tmp = make_call_function(tn, $3);
3903 # FILE_NAME(tmp,@1);
3904 # $$ = tmp;
3905 # }
3906 ()
3907 def p_expr_primary_21(p):
3908 '''expr_primary : K_atan2 '(' expression ',' expression ')' '''
3909 print('expr_primary', list(p))
3910 # { perm_string tn = perm_string::literal("$atan2");
3911 # PECallFunction*tmp = make_call_function(tn, $3, $5);
3912 # FILE_NAME(tmp,@1);
3913 # $$ = tmp;
3914 # }
3915 ()
3916 def p_expr_primary_22(p):
3917 '''expr_primary : K_ceil '(' expression ')' '''
3918 print('expr_primary', list(p))
3919 # { perm_string tn = perm_string::literal("$ceil");
3920 # PECallFunction*tmp = make_call_function(tn, $3);
3921 # FILE_NAME(tmp,@1);
3922 # $$ = tmp;
3923 # }
3924 ()
3925 def p_expr_primary_23(p):
3926 '''expr_primary : K_cos '(' expression ')' '''
3927 print('expr_primary', list(p))
3928 # { perm_string tn = perm_string::literal("$cos");
3929 # PECallFunction*tmp = make_call_function(tn, $3);
3930 # FILE_NAME(tmp,@1);
3931 # $$ = tmp;
3932 # }
3933 ()
3934 def p_expr_primary_24(p):
3935 '''expr_primary : K_cosh '(' expression ')' '''
3936 print('expr_primary', list(p))
3937 # { perm_string tn = perm_string::literal("$cosh");
3938 # PECallFunction*tmp = make_call_function(tn, $3);
3939 # FILE_NAME(tmp,@1);
3940 # $$ = tmp;
3941 # }
3942 ()
3943 def p_expr_primary_25(p):
3944 '''expr_primary : K_exp '(' expression ')' '''
3945 print('expr_primary', list(p))
3946 # { perm_string tn = perm_string::literal("$exp");
3947 # PECallFunction*tmp = make_call_function(tn, $3);
3948 # FILE_NAME(tmp,@1);
3949 # $$ = tmp;
3950 # }
3951 ()
3952 def p_expr_primary_26(p):
3953 '''expr_primary : K_floor '(' expression ')' '''
3954 print('expr_primary', list(p))
3955 # { perm_string tn = perm_string::literal("$floor");
3956 # PECallFunction*tmp = make_call_function(tn, $3);
3957 # FILE_NAME(tmp,@1);
3958 # $$ = tmp;
3959 # }
3960 ()
3961 def p_expr_primary_27(p):
3962 '''expr_primary : K_hypot '(' expression ',' expression ')' '''
3963 print('expr_primary', list(p))
3964 # { perm_string tn = perm_string::literal("$hypot");
3965 # PECallFunction*tmp = make_call_function(tn, $3, $5);
3966 # FILE_NAME(tmp,@1);
3967 # $$ = tmp;
3968 # }
3969 ()
3970 def p_expr_primary_28(p):
3971 '''expr_primary : K_ln '(' expression ')' '''
3972 print('expr_primary', list(p))
3973 # { perm_string tn = perm_string::literal("$ln");
3974 # PECallFunction*tmp = make_call_function(tn, $3);
3975 # FILE_NAME(tmp,@1);
3976 # $$ = tmp;
3977 # }
3978 ()
3979 def p_expr_primary_29(p):
3980 '''expr_primary : K_log '(' expression ')' '''
3981 print('expr_primary', list(p))
3982 # { perm_string tn = perm_string::literal("$log10");
3983 # PECallFunction*tmp = make_call_function(tn, $3);
3984 # FILE_NAME(tmp,@1);
3985 # $$ = tmp;
3986 # }
3987 ()
3988 def p_expr_primary_30(p):
3989 '''expr_primary : K_pow '(' expression ',' expression ')' '''
3990 print('expr_primary', list(p))
3991 # { perm_string tn = perm_string::literal("$pow");
3992 # PECallFunction*tmp = make_call_function(tn, $3, $5);
3993 # FILE_NAME(tmp,@1);
3994 # $$ = tmp;
3995 # }
3996 ()
3997 def p_expr_primary_31(p):
3998 '''expr_primary : K_sin '(' expression ')' '''
3999 print('expr_primary', list(p))
4000 # { perm_string tn = perm_string::literal("$sin");
4001 # PECallFunction*tmp = make_call_function(tn, $3);
4002 # FILE_NAME(tmp,@1);
4003 # $$ = tmp;
4004 # }
4005 ()
4006 def p_expr_primary_32(p):
4007 '''expr_primary : K_sinh '(' expression ')' '''
4008 print('expr_primary', list(p))
4009 # { perm_string tn = perm_string::literal("$sinh");
4010 # PECallFunction*tmp = make_call_function(tn, $3);
4011 # FILE_NAME(tmp,@1);
4012 # $$ = tmp;
4013 # }
4014 ()
4015 def p_expr_primary_33(p):
4016 '''expr_primary : K_sqrt '(' expression ')' '''
4017 print('expr_primary', list(p))
4018 # { perm_string tn = perm_string::literal("$sqrt");
4019 # PECallFunction*tmp = make_call_function(tn, $3);
4020 # FILE_NAME(tmp,@1);
4021 # $$ = tmp;
4022 # }
4023 ()
4024 def p_expr_primary_34(p):
4025 '''expr_primary : K_tan '(' expression ')' '''
4026 print('expr_primary', list(p))
4027 # { perm_string tn = perm_string::literal("$tan");
4028 # PECallFunction*tmp = make_call_function(tn, $3);
4029 # FILE_NAME(tmp,@1);
4030 # $$ = tmp;
4031 # }
4032 ()
4033 def p_expr_primary_35(p):
4034 '''expr_primary : K_tanh '(' expression ')' '''
4035 print('expr_primary', list(p))
4036 # { perm_string tn = perm_string::literal("$tanh");
4037 # PECallFunction*tmp = make_call_function(tn, $3);
4038 # FILE_NAME(tmp,@1);
4039 # $$ = tmp;
4040 # }
4041 ()
4042 def p_expr_primary_36(p):
4043 '''expr_primary : K_abs '(' expression ')' '''
4044 print('expr_primary', list(p))
4045 # { PEUnary*tmp = new PEUnary('m', $3);
4046 # FILE_NAME(tmp,@1);
4047 # $$ = tmp;
4048 # }
4049 ()
4050 def p_expr_primary_37(p):
4051 '''expr_primary : K_max '(' expression ',' expression ')' '''
4052 print('expr_primary', list(p))
4053 # { PEBinary*tmp = new PEBinary('M', $3, $5);
4054 # FILE_NAME(tmp,@1);
4055 # $$ = tmp;
4056 # }
4057 ()
4058 def p_expr_primary_38(p):
4059 '''expr_primary : K_min '(' expression ',' expression ')' '''
4060 print('expr_primary', list(p))
4061 # { PEBinary*tmp = new PEBinary('m', $3, $5);
4062 # FILE_NAME(tmp,@1);
4063 # $$ = tmp;
4064 # }
4065 ()
4066 def p_expr_primary_39(p):
4067 '''expr_primary : '(' expr_mintypmax ')' '''
4068 print('expr_primary', list(p))
4069 # { $$ = $2; }
4070 ()
4071 def p_expr_primary_40(p):
4072 '''expr_primary : '{' expression_list_proper '}' '''
4073 print('expr_primary', list(p))
4074 # { PEConcat*tmp = new PEConcat(*$2);
4075 # FILE_NAME(tmp, @1);
4076 # delete $2;
4077 # $$ = tmp;
4078 # }
4079 ()
4080 def p_expr_primary_41(p):
4081 '''expr_primary : '{' expression '{' expression_list_proper '}' '}' '''
4082 print('expr_primary', list(p))
4083 # { PExpr*rep = $2;
4084 # PEConcat*tmp = new PEConcat(*$4, rep);
4085 # FILE_NAME(tmp, @1);
4086 # delete $4;
4087 # $$ = tmp;
4088 # }
4089 ()
4090 def p_expr_primary_42(p):
4091 '''expr_primary : '{' expression '{' expression_list_proper '}' error '}' '''
4092 print('expr_primary', list(p))
4093 # { PExpr*rep = $2;
4094 # PEConcat*tmp = new PEConcat(*$4, rep);
4095 # FILE_NAME(tmp, @1);
4096 # delete $4;
4097 # $$ = tmp;
4098 # yyerror(@5, "error: Syntax error between internal '}' "
4099 # "and closing '}' of repeat concatenation.");
4100 # yyerrok;
4101 # }
4102 ()
4103 def p_expr_primary_43(p):
4104 '''expr_primary : '{' '}' '''
4105 print('expr_primary', list(p))
4106 # { // This is the empty queue syntax.
4107 # if (gn_system_verilog()) {
4108 # list<PExpr*> empty_list;
4109 # PEConcat*tmp = new PEConcat(empty_list);
4110 # FILE_NAME(tmp, @1);
4111 # $$ = tmp;
4112 # } else {
4113 # yyerror(@1, "error: Concatenations are not allowed to be empty.");
4114 # $$ = 0;
4115 # }
4116 # }
4117 ()
4118 def p_expr_primary_44(p):
4119 '''expr_primary : expr_primary "'" '(' expression ')' '''
4120 print('expr_primary', list(p))
4121 # { PExpr*base = $4;
4122 # if (gn_system_verilog()) {
4123 # PECastSize*tmp = new PECastSize($1, base);
4124 # FILE_NAME(tmp, @1);
4125 # $$ = tmp;
4126 # } else {
4127 # yyerror(@1, "error: Size cast requires SystemVerilog.");
4128 # $$ = base;
4129 # }
4130 # }
4131 ()
4132 def p_expr_primary_45(p):
4133 '''expr_primary : simple_type_or_string "'" '(' expression ')' '''
4134 print('expr_primary', list(p))
4135 # { PExpr*base = $4;
4136 # if (gn_system_verilog()) {
4137 # PECastType*tmp = new PECastType($1, base);
4138 # FILE_NAME(tmp, @1);
4139 # $$ = tmp;
4140 # } else {
4141 # yyerror(@1, "error: Type cast requires SystemVerilog.");
4142 # $$ = base;
4143 # }
4144 # }
4145 ()
4146 def p_expr_primary_46(p):
4147 '''expr_primary : assignment_pattern '''
4148 print('expr_primary', list(p))
4149 # { $$ = $1; }
4150 ()
4151 def p_expr_primary_47(p):
4152 '''expr_primary : streaming_concatenation '''
4153 print('expr_primary', list(p))
4154 # { $$ = $1; }
4155 ()
4156 def p_expr_primary_48(p):
4157 '''expr_primary : K_null '''
4158 print('expr_primary', list(p))
4159 # { PENull*tmp = new PENull;
4160 # FILE_NAME(tmp, @1);
4161 # $$ = tmp;
4162 # }
4163 ()
4164 def p_function_item_list_opt_1(p):
4165 '''function_item_list_opt : function_item_list '''
4166 print('function_item_list_opt', list(p))
4167 # { $$ = $1; }
4168 ()
4169 def p_function_item_list_opt_2(p):
4170 '''function_item_list_opt : '''
4171 print('function_item_list_opt', list(p))
4172 # { $$ = 0; }
4173 ()
4174 def p_function_item_list_1(p):
4175 '''function_item_list : function_item '''
4176 print('function_item_list', list(p))
4177 # { $$ = $1; }
4178 ()
4179 def p_function_item_list_2(p):
4180 '''function_item_list : function_item_list function_item '''
4181 print('function_item_list', list(p))
4182 # { /* */
4183 # if ($1 && $2) {
4184 # vector<pform_tf_port_t>*tmp = $1;
4185 # size_t s1 = tmp->size();
4186 # tmp->resize(s1 + $2->size());
4187 # for (size_t idx = 0 ; idx < $2->size() ; idx += 1)
4188 # tmp->at(s1+idx) = $2->at(idx);
4189 # delete $2;
4190 # $$ = tmp;
4191 # } else if ($1) {
4192 # $$ = $1;
4193 # } else {
4194 # $$ = $2;
4195 # }
4196 # }
4197 ()
4198 def p_function_item_1(p):
4199 '''function_item : tf_port_declaration '''
4200 print('function_item', list(p))
4201 # { $$ = $1; }
4202 ()
4203 def p_function_item_2(p):
4204 '''function_item : block_item_decl '''
4205 print('function_item', list(p))
4206 # { $$ = 0; }
4207 ()
4208 def p_gate_instance_1(p):
4209 '''gate_instance : IDENTIFIER '(' expression_list_with_nuls ')' '''
4210 print('gate_instance', list(p))
4211 # { lgate*tmp = new lgate;
4212 # tmp->name = $1;
4213 # tmp->parms = $3;
4214 # tmp->file = @1.text;
4215 # tmp->lineno = @1.first_line;
4216 # delete[]$1;
4217 # $$ = tmp;
4218 # }
4219 ()
4220 def p_gate_instance_2(p):
4221 '''gate_instance : IDENTIFIER dimensions '(' expression_list_with_nuls ')' '''
4222 print('gate_instance', list(p))
4223 # { lgate*tmp = new lgate;
4224 # list<pform_range_t>*rng = $2;
4225 # tmp->name = $1;
4226 # tmp->parms = $4;
4227 # tmp->range = rng->front();
4228 # rng->pop_front();
4229 # assert(rng->empty());
4230 # tmp->file = @1.text;
4231 # tmp->lineno = @1.first_line;
4232 # delete[]$1;
4233 # delete rng;
4234 # $$ = tmp;
4235 # }
4236 ()
4237 def p_gate_instance_3(p):
4238 '''gate_instance : '(' expression_list_with_nuls ')' '''
4239 print('gate_instance', list(p))
4240 # { lgate*tmp = new lgate;
4241 # tmp->name = "";
4242 # tmp->parms = $2;
4243 # tmp->file = @1.text;
4244 # tmp->lineno = @1.first_line;
4245 # $$ = tmp;
4246 # }
4247 ()
4248 def p_gate_instance_4(p):
4249 '''gate_instance : IDENTIFIER dimensions '''
4250 print('gate_instance', list(p))
4251 # { lgate*tmp = new lgate;
4252 # list<pform_range_t>*rng = $2;
4253 # tmp->name = $1;
4254 # tmp->parms = 0;
4255 # tmp->parms_by_name = 0;
4256 # tmp->range = rng->front();
4257 # rng->pop_front();
4258 # assert(rng->empty());
4259 # tmp->file = @1.text;
4260 # tmp->lineno = @1.first_line;
4261 # delete[]$1;
4262 # delete rng;
4263 # $$ = tmp;
4264 # }
4265 ()
4266 def p_gate_instance_5(p):
4267 '''gate_instance : IDENTIFIER '(' port_name_list ')' '''
4268 print('gate_instance', list(p))
4269 # { lgate*tmp = new lgate;
4270 # tmp->name = $1;
4271 # tmp->parms = 0;
4272 # tmp->parms_by_name = $3;
4273 # tmp->file = @1.text;
4274 # tmp->lineno = @1.first_line;
4275 # delete[]$1;
4276 # $$ = tmp;
4277 # }
4278 ()
4279 def p_gate_instance_6(p):
4280 '''gate_instance : IDENTIFIER dimensions '(' port_name_list ')' '''
4281 print('gate_instance', list(p))
4282 # { lgate*tmp = new lgate;
4283 # list<pform_range_t>*rng = $2;
4284 # tmp->name = $1;
4285 # tmp->parms = 0;
4286 # tmp->parms_by_name = $4;
4287 # tmp->range = rng->front();
4288 # rng->pop_front();
4289 # assert(rng->empty());
4290 # tmp->file = @1.text;
4291 # tmp->lineno = @1.first_line;
4292 # delete[]$1;
4293 # delete rng;
4294 # $$ = tmp;
4295 # }
4296 ()
4297 def p_gate_instance_7(p):
4298 '''gate_instance : IDENTIFIER '(' error ')' '''
4299 print('gate_instance', list(p))
4300 # { lgate*tmp = new lgate;
4301 # tmp->name = $1;
4302 # tmp->parms = 0;
4303 # tmp->parms_by_name = 0;
4304 # tmp->file = @1.text;
4305 # tmp->lineno = @1.first_line;
4306 # yyerror(@2, "error: Syntax error in instance port "
4307 # "expression(s).");
4308 # delete[]$1;
4309 # $$ = tmp;
4310 # }
4311 ()
4312 def p_gate_instance_8(p):
4313 '''gate_instance : IDENTIFIER dimensions '(' error ')' '''
4314 print('gate_instance', list(p))
4315 # { lgate*tmp = new lgate;
4316 # tmp->name = $1;
4317 # tmp->parms = 0;
4318 # tmp->parms_by_name = 0;
4319 # tmp->file = @1.text;
4320 # tmp->lineno = @1.first_line;
4321 # yyerror(@3, "error: Syntax error in instance port "
4322 # "expression(s).");
4323 # delete[]$1;
4324 # $$ = tmp;
4325 # }
4326 ()
4327 def p_gate_instance_list_1(p):
4328 '''gate_instance_list : gate_instance_list ',' gate_instance '''
4329 print('gate_instance_list', list(p))
4330 # { svector<lgate>*tmp1 = $1;
4331 # lgate*tmp2 = $3;
4332 # svector<lgate>*out = new svector<lgate> (*tmp1, *tmp2);
4333 # delete tmp1;
4334 # delete tmp2;
4335 # $$ = out;
4336 # }
4337 ()
4338 def p_gate_instance_list_2(p):
4339 '''gate_instance_list : gate_instance '''
4340 print('gate_instance_list', list(p))
4341 # { svector<lgate>*tmp = new svector<lgate>(1);
4342 # (*tmp)[0] = *$1;
4343 # delete $1;
4344 # $$ = tmp;
4345 # }
4346 ()
4347 def p_gatetype_1(p):
4348 '''gatetype : K_and '''
4349 print('gatetype', list(p))
4350 # { $$ = PGBuiltin::AND; }
4351 ()
4352 def p_gatetype_2(p):
4353 '''gatetype : K_nand '''
4354 print('gatetype', list(p))
4355 # { $$ = PGBuiltin::NAND; }
4356 ()
4357 def p_gatetype_3(p):
4358 '''gatetype : K_or '''
4359 print('gatetype', list(p))
4360 # { $$ = PGBuiltin::OR; }
4361 ()
4362 def p_gatetype_4(p):
4363 '''gatetype : K_nor '''
4364 print('gatetype', list(p))
4365 # { $$ = PGBuiltin::NOR; }
4366 ()
4367 def p_gatetype_5(p):
4368 '''gatetype : K_xor '''
4369 print('gatetype', list(p))
4370 # { $$ = PGBuiltin::XOR; }
4371 ()
4372 def p_gatetype_6(p):
4373 '''gatetype : K_xnor '''
4374 print('gatetype', list(p))
4375 # { $$ = PGBuiltin::XNOR; }
4376 ()
4377 def p_gatetype_7(p):
4378 '''gatetype : K_buf '''
4379 print('gatetype', list(p))
4380 # { $$ = PGBuiltin::BUF; }
4381 ()
4382 def p_gatetype_8(p):
4383 '''gatetype : K_bufif0 '''
4384 print('gatetype', list(p))
4385 # { $$ = PGBuiltin::BUFIF0; }
4386 ()
4387 def p_gatetype_9(p):
4388 '''gatetype : K_bufif1 '''
4389 print('gatetype', list(p))
4390 # { $$ = PGBuiltin::BUFIF1; }
4391 ()
4392 def p_gatetype_10(p):
4393 '''gatetype : K_not '''
4394 print('gatetype', list(p))
4395 # { $$ = PGBuiltin::NOT; }
4396 ()
4397 def p_gatetype_11(p):
4398 '''gatetype : K_notif0 '''
4399 print('gatetype', list(p))
4400 # { $$ = PGBuiltin::NOTIF0; }
4401 ()
4402 def p_gatetype_12(p):
4403 '''gatetype : K_notif1 '''
4404 print('gatetype', list(p))
4405 # { $$ = PGBuiltin::NOTIF1; }
4406 ()
4407 def p_switchtype_1(p):
4408 '''switchtype : K_nmos '''
4409 print('switchtype', list(p))
4410 # { $$ = PGBuiltin::NMOS; }
4411 ()
4412 def p_switchtype_2(p):
4413 '''switchtype : K_rnmos '''
4414 print('switchtype', list(p))
4415 # { $$ = PGBuiltin::RNMOS; }
4416 ()
4417 def p_switchtype_3(p):
4418 '''switchtype : K_pmos '''
4419 print('switchtype', list(p))
4420 # { $$ = PGBuiltin::PMOS; }
4421 ()
4422 def p_switchtype_4(p):
4423 '''switchtype : K_rpmos '''
4424 print('switchtype', list(p))
4425 # { $$ = PGBuiltin::RPMOS; }
4426 ()
4427 def p_switchtype_5(p):
4428 '''switchtype : K_cmos '''
4429 print('switchtype', list(p))
4430 # { $$ = PGBuiltin::CMOS; }
4431 ()
4432 def p_switchtype_6(p):
4433 '''switchtype : K_rcmos '''
4434 print('switchtype', list(p))
4435 # { $$ = PGBuiltin::RCMOS; }
4436 ()
4437 def p_switchtype_7(p):
4438 '''switchtype : K_tran '''
4439 print('switchtype', list(p))
4440 # { $$ = PGBuiltin::TRAN; }
4441 ()
4442 def p_switchtype_8(p):
4443 '''switchtype : K_rtran '''
4444 print('switchtype', list(p))
4445 # { $$ = PGBuiltin::RTRAN; }
4446 ()
4447 def p_switchtype_9(p):
4448 '''switchtype : K_tranif0 '''
4449 print('switchtype', list(p))
4450 # { $$ = PGBuiltin::TRANIF0; }
4451 ()
4452 def p_switchtype_10(p):
4453 '''switchtype : K_tranif1 '''
4454 print('switchtype', list(p))
4455 # { $$ = PGBuiltin::TRANIF1; }
4456 ()
4457 def p_switchtype_11(p):
4458 '''switchtype : K_rtranif0 '''
4459 print('switchtype', list(p))
4460 # { $$ = PGBuiltin::RTRANIF0; }
4461 ()
4462 def p_switchtype_12(p):
4463 '''switchtype : K_rtranif1 '''
4464 print('switchtype', list(p))
4465 # { $$ = PGBuiltin::RTRANIF1; }
4466 ()
4467 def p_hierarchy_identifier_1(p):
4468 '''hierarchy_identifier : IDENTIFIER '''
4469 print('hierarchy_identifier', list(p))
4470 lpvalue = Leaf(token.NAME, p[1])
4471 p[0] = lpvalue
4472 # { $$ = new pform_name_t;
4473 # $$->push_back(name_component_t(lex_strings.make($1)));
4474 # delete[]$1;
4475 # }
4476 ()
4477 def p_hierarchy_identifier_2(p):
4478 '''hierarchy_identifier : hierarchy_identifier '.' IDENTIFIER '''
4479 print('hierarchy_identifier', list(p))
4480 # { pform_name_t * tmp = $1;
4481 # tmp->push_back(name_component_t(lex_strings.make($3)));
4482 # delete[]$3;
4483 # $$ = tmp;
4484 # }
4485 ()
4486 def p_hierarchy_identifier_3(p):
4487 '''hierarchy_identifier : hierarchy_identifier '[' expression ']' '''
4488 print('hierarchy_identifier', list(p))
4489 # { pform_name_t * tmp = $1;
4490 # name_component_t&tail = tmp->back();
4491 # index_component_t itmp;
4492 # itmp.sel = index_component_t::SEL_BIT;
4493 # itmp.msb = $3;
4494 # tail.index.push_back(itmp);
4495 # $$ = tmp;
4496 # }
4497 ()
4498 def p_hierarchy_identifier_4(p):
4499 '''hierarchy_identifier : hierarchy_identifier '[' '$' ']' '''
4500 print('hierarchy_identifier', list(p))
4501 # { pform_name_t * tmp = $1;
4502 # name_component_t&tail = tmp->back();
4503 # if (! gn_system_verilog()) {
4504 # yyerror(@3, "error: Last element expression ($) "
4505 # "requires SystemVerilog. Try enabling SystemVerilog.");
4506 # }
4507 # index_component_t itmp;
4508 # itmp.sel = index_component_t::SEL_BIT_LAST;
4509 # itmp.msb = 0;
4510 # itmp.lsb = 0;
4511 # tail.index.push_back(itmp);
4512 # $$ = tmp;
4513 # }
4514 ()
4515 def p_hierarchy_identifier_5(p):
4516 '''hierarchy_identifier : hierarchy_identifier '[' expression ':' expression ']' '''
4517 print('hierarchy_identifier', list(p))
4518 # { pform_name_t * tmp = $1;
4519 # name_component_t&tail = tmp->back();
4520 # index_component_t itmp;
4521 # itmp.sel = index_component_t::SEL_PART;
4522 # itmp.msb = $3;
4523 # itmp.lsb = $5;
4524 # tail.index.push_back(itmp);
4525 # $$ = tmp;
4526 # }
4527 ()
4528 def p_hierarchy_identifier_6(p):
4529 '''hierarchy_identifier : hierarchy_identifier '[' expression K_PO_POS expression ']' '''
4530 print('hierarchy_identifier', list(p))
4531 # { pform_name_t * tmp = $1;
4532 # name_component_t&tail = tmp->back();
4533 # index_component_t itmp;
4534 # itmp.sel = index_component_t::SEL_IDX_UP;
4535 # itmp.msb = $3;
4536 # itmp.lsb = $5;
4537 # tail.index.push_back(itmp);
4538 # $$ = tmp;
4539 # }
4540 ()
4541 def p_hierarchy_identifier_7(p):
4542 '''hierarchy_identifier : hierarchy_identifier '[' expression K_PO_NEG expression ']' '''
4543 print('hierarchy_identifier', list(p))
4544 # { pform_name_t * tmp = $1;
4545 # name_component_t&tail = tmp->back();
4546 # index_component_t itmp;
4547 # itmp.sel = index_component_t::SEL_IDX_DO;
4548 # itmp.msb = $3;
4549 # itmp.lsb = $5;
4550 # tail.index.push_back(itmp);
4551 # $$ = tmp;
4552 # }
4553 ()
4554 def p_list_of_identifiers_1(p):
4555 '''list_of_identifiers : IDENTIFIER '''
4556 print('list_of_identifiers', list(p))
4557 # { $$ = list_from_identifier($1); }
4558 ()
4559 def p_list_of_identifiers_2(p):
4560 '''list_of_identifiers : list_of_identifiers ',' IDENTIFIER '''
4561 print('list_of_identifiers', list(p))
4562 # { $$ = list_from_identifier($1, $3); }
4563 ()
4564 def p_list_of_port_identifiers_1(p):
4565 '''list_of_port_identifiers : IDENTIFIER dimensions_opt '''
4566 print('list_of_port_identifiers', list(p))
4567 # { $$ = make_port_list($1, $2, 0); }
4568 ()
4569 def p_list_of_port_identifiers_2(p):
4570 '''list_of_port_identifiers : list_of_port_identifiers ',' IDENTIFIER dimensions_opt '''
4571 print('list_of_port_identifiers', list(p))
4572 # { $$ = make_port_list($1, $3, $4, 0); }
4573 ()
4574 def p_list_of_variable_port_identifiers_1(p):
4575 '''list_of_variable_port_identifiers : IDENTIFIER dimensions_opt '''
4576 print('list_of_variable_port_identifiers', list(p))
4577 # { $$ = make_port_list($1, $2, 0); }
4578 ()
4579 def p_list_of_variable_port_identifiers_2(p):
4580 '''list_of_variable_port_identifiers : IDENTIFIER dimensions_opt '=' expression '''
4581 print('list_of_variable_port_identifiers', list(p))
4582 # { $$ = make_port_list($1, $2, $4); }
4583 ()
4584 def p_list_of_variable_port_identifiers_3(p):
4585 '''list_of_variable_port_identifiers : list_of_variable_port_identifiers ',' IDENTIFIER dimensions_opt '''
4586 print('list_of_variable_port_identifiers', list(p))
4587 # { $$ = make_port_list($1, $3, $4, 0); }
4588 ()
4589 def p_list_of_variable_port_identifiers_4(p):
4590 '''list_of_variable_port_identifiers : list_of_variable_port_identifiers ',' IDENTIFIER dimensions_opt '=' expression '''
4591 print('list_of_variable_port_identifiers', list(p))
4592 # { $$ = make_port_list($1, $3, $4, $6); }
4593 ()
4594 def p_list_of_ports_1(p):
4595 '''list_of_ports : port_opt '''
4596 print('list_of_ports', list(p))
4597 # { vector<Module::port_t*>*tmp
4598 # = new vector<Module::port_t*>(1);
4599 # (*tmp)[0] = $1;
4600 # $$ = tmp;
4601 # }
4602 ()
4603 def p_list_of_ports_2(p):
4604 '''list_of_ports : list_of_ports ',' port_opt '''
4605 print('list_of_ports', list(p))
4606 # { vector<Module::port_t*>*tmp = $1;
4607 # tmp->push_back($3);
4608 # $$ = tmp;
4609 # }
4610 ()
4611 def p_list_of_port_declarations_1(p):
4612 '''list_of_port_declarations : port_declaration '''
4613 print('list_of_port_declarations', list(p))
4614 # { vector<Module::port_t*>*tmp
4615 # = new vector<Module::port_t*>(1);
4616 # (*tmp)[0] = $1;
4617 # $$ = tmp;
4618 # }
4619 ()
4620 def p_list_of_port_declarations_2(p):
4621 '''list_of_port_declarations : list_of_port_declarations ',' port_declaration '''
4622 print('list_of_port_declarations', list(p))
4623 # { vector<Module::port_t*>*tmp = $1;
4624 # tmp->push_back($3);
4625 # $$ = tmp;
4626 # }
4627 ()
4628 def p_list_of_port_declarations_3(p):
4629 '''list_of_port_declarations : list_of_port_declarations ',' IDENTIFIER '''
4630 print('list_of_port_declarations', list(p))
4631 # { Module::port_t*ptmp;
4632 # perm_string name = lex_strings.make($3);
4633 # ptmp = pform_module_port_reference(name, @3.text,
4634 # @3.first_line);
4635 # vector<Module::port_t*>*tmp = $1;
4636 # tmp->push_back(ptmp);
4637 #
4638 # /* Get the port declaration details, the port type
4639 # and what not, from context data stored by the
4640 # last port_declaration rule. */
4641 # pform_module_define_port(@3, name,
4642 # port_declaration_context.port_type,
4643 # port_declaration_context.port_net_type,
4644 # port_declaration_context.data_type, 0);
4645 # delete[]$3;
4646 # $$ = tmp;
4647 # }
4648 ()
4649 def p_list_of_port_declarations_4(p):
4650 '''list_of_port_declarations : list_of_port_declarations ',' '''
4651 print('list_of_port_declarations', list(p))
4652 # {
4653 # yyerror(@2, "error: NULL port declarations are not "
4654 # "allowed.");
4655 # }
4656 ()
4657 def p_list_of_port_declarations_5(p):
4658 '''list_of_port_declarations : list_of_port_declarations ';' '''
4659 print('list_of_port_declarations', list(p))
4660 # {
4661 # yyerror(@2, "error: ';' is an invalid port declaration "
4662 # "separator.");
4663 # }
4664 ()
4665 def p_port_declaration_1(p):
4666 '''port_declaration : attribute_list_opt K_input net_type_opt data_type_or_implicit IDENTIFIER dimensions_opt '''
4667 print('port_declaration', list(p))
4668 # { Module::port_t*ptmp;
4669 # perm_string name = lex_strings.make($5);
4670 # data_type_t*use_type = $4;
4671 # if ($6) use_type = new uarray_type_t(use_type, $6);
4672 # ptmp = pform_module_port_reference(name, @2.text, @2.first_line);
4673 # pform_module_define_port(@2, name, NetNet::PINPUT, $3, use_type, $1);
4674 # port_declaration_context.port_type = NetNet::PINPUT;
4675 # port_declaration_context.port_net_type = $3;
4676 # port_declaration_context.data_type = $4;
4677 # delete[]$5;
4678 # $$ = ptmp;
4679 # }
4680 ()
4681 def p_port_declaration_2(p):
4682 '''port_declaration : attribute_list_opt K_input K_wreal IDENTIFIER '''
4683 print('port_declaration', list(p))
4684 # { Module::port_t*ptmp;
4685 # perm_string name = lex_strings.make($4);
4686 # ptmp = pform_module_port_reference(name, @2.text,
4687 # @2.first_line);
4688 # real_type_t*real_type = new real_type_t(real_type_t::REAL);
4689 # FILE_NAME(real_type, @3);
4690 # pform_module_define_port(@2, name, NetNet::PINPUT,
4691 # NetNet::WIRE, real_type, $1);
4692 # port_declaration_context.port_type = NetNet::PINPUT;
4693 # port_declaration_context.port_net_type = NetNet::WIRE;
4694 # port_declaration_context.data_type = real_type;
4695 # delete[]$4;
4696 # $$ = ptmp;
4697 # }
4698 ()
4699 def p_port_declaration_3(p):
4700 '''port_declaration : attribute_list_opt K_inout net_type_opt data_type_or_implicit IDENTIFIER dimensions_opt '''
4701 print('port_declaration', list(p))
4702 # { Module::port_t*ptmp;
4703 # perm_string name = lex_strings.make($5);
4704 # ptmp = pform_module_port_reference(name, @2.text, @2.first_line);
4705 # pform_module_define_port(@2, name, NetNet::PINOUT, $3, $4, $1);
4706 # port_declaration_context.port_type = NetNet::PINOUT;
4707 # port_declaration_context.port_net_type = $3;
4708 # port_declaration_context.data_type = $4;
4709 # delete[]$5;
4710 # if ($6) {
4711 # yyerror(@6, "sorry: Inout ports with unpacked dimensions not supported.");
4712 # delete $6;
4713 # }
4714 # $$ = ptmp;
4715 # }
4716 ()
4717 def p_port_declaration_4(p):
4718 '''port_declaration : attribute_list_opt K_inout K_wreal IDENTIFIER '''
4719 print('port_declaration', list(p))
4720 # { Module::port_t*ptmp;
4721 # perm_string name = lex_strings.make($4);
4722 # ptmp = pform_module_port_reference(name, @2.text,
4723 # @2.first_line);
4724 # real_type_t*real_type = new real_type_t(real_type_t::REAL);
4725 # FILE_NAME(real_type, @3);
4726 # pform_module_define_port(@2, name, NetNet::PINOUT,
4727 # NetNet::WIRE, real_type, $1);
4728 # port_declaration_context.port_type = NetNet::PINOUT;
4729 # port_declaration_context.port_net_type = NetNet::WIRE;
4730 # port_declaration_context.data_type = real_type;
4731 # delete[]$4;
4732 # $$ = ptmp;
4733 # }
4734 ()
4735 def p_port_declaration_5(p):
4736 '''port_declaration : attribute_list_opt K_output net_type_opt data_type_or_implicit IDENTIFIER dimensions_opt '''
4737 print('port_declaration', list(p))
4738 # { Module::port_t*ptmp;
4739 # perm_string name = lex_strings.make($5);
4740 # data_type_t*use_dtype = $4;
4741 # if ($6) use_dtype = new uarray_type_t(use_dtype, $6);
4742 # NetNet::Type use_type = $3;
4743 # if (use_type == NetNet::IMPLICIT) {
4744 # if (vector_type_t*dtype = dynamic_cast<vector_type_t*> ($4)) {
4745 # if (dtype->reg_flag)
4746 # use_type = NetNet::REG;
4747 # else if (dtype->implicit_flag)
4748 # use_type = NetNet::IMPLICIT;
4749 # else
4750 # use_type = NetNet::IMPLICIT_REG;
4751 #
4752 # // The SystemVerilog types that can show up as
4753 # // output ports are implicitly (on the inside)
4754 # // variables because "reg" is not valid syntax
4755 # // here.
4756 # } else if (dynamic_cast<atom2_type_t*> ($4)) {
4757 # use_type = NetNet::IMPLICIT_REG;
4758 # } else if (dynamic_cast<struct_type_t*> ($4)) {
4759 # use_type = NetNet::IMPLICIT_REG;
4760 # } else if (enum_type_t*etype = dynamic_cast<enum_type_t*> ($4)) {
4761 # if(etype->base_type == IVL_VT_LOGIC)
4762 # use_type = NetNet::IMPLICIT_REG;
4763 # }
4764 # }
4765 # ptmp = pform_module_port_reference(name, @2.text, @2.first_line);
4766 # pform_module_define_port(@2, name, NetNet::POUTPUT, use_type, use_dtype, $1);
4767 # port_declaration_context.port_type = NetNet::POUTPUT;
4768 # port_declaration_context.port_net_type = use_type;
4769 # port_declaration_context.data_type = $4;
4770 # delete[]$5;
4771 # $$ = ptmp;
4772 # }
4773 ()
4774 def p_port_declaration_6(p):
4775 '''port_declaration : attribute_list_opt K_output K_wreal IDENTIFIER '''
4776 print('port_declaration', list(p))
4777 # { Module::port_t*ptmp;
4778 # perm_string name = lex_strings.make($4);
4779 # ptmp = pform_module_port_reference(name, @2.text,
4780 # @2.first_line);
4781 # real_type_t*real_type = new real_type_t(real_type_t::REAL);
4782 # FILE_NAME(real_type, @3);
4783 # pform_module_define_port(@2, name, NetNet::POUTPUT,
4784 # NetNet::WIRE, real_type, $1);
4785 # port_declaration_context.port_type = NetNet::POUTPUT;
4786 # port_declaration_context.port_net_type = NetNet::WIRE;
4787 # port_declaration_context.data_type = real_type;
4788 # delete[]$4;
4789 # $$ = ptmp;
4790 # }
4791 ()
4792 def p_port_declaration_7(p):
4793 '''port_declaration : attribute_list_opt K_output net_type_opt data_type_or_implicit IDENTIFIER '=' expression '''
4794 print('port_declaration', list(p))
4795 # { Module::port_t*ptmp;
4796 # perm_string name = lex_strings.make($5);
4797 # NetNet::Type use_type = $3;
4798 # if (use_type == NetNet::IMPLICIT) {
4799 # if (vector_type_t*dtype = dynamic_cast<vector_type_t*> ($4)) {
4800 # if (dtype->reg_flag)
4801 # use_type = NetNet::REG;
4802 # else
4803 # use_type = NetNet::IMPLICIT_REG;
4804 # } else {
4805 # use_type = NetNet::IMPLICIT_REG;
4806 # }
4807 # }
4808 # ptmp = pform_module_port_reference(name, @2.text, @2.first_line);
4809 # pform_module_define_port(@2, name, NetNet::POUTPUT, use_type, $4, $1);
4810 # port_declaration_context.port_type = NetNet::PINOUT;
4811 # port_declaration_context.port_net_type = use_type;
4812 # port_declaration_context.data_type = $4;
4813 #
4814 # pform_make_var_init(@5, name, $7);
4815 #
4816 # delete[]$5;
4817 # $$ = ptmp;
4818 # }
4819 ()
4820 def p_net_type_opt_1(p):
4821 '''net_type_opt : net_type '''
4822 print('net_type_opt', list(p))
4823 # { $$ = $1; }
4824 ()
4825 def p_net_type_opt_2(p):
4826 '''net_type_opt : '''
4827 print('net_type_opt', list(p))
4828 # { $$ = NetNet::IMPLICIT; }
4829 ()
4830 def p_unsigned_signed_opt_1(p):
4831 '''unsigned_signed_opt : K_signed '''
4832 print('unsigned_signed_opt', list(p))
4833 # { $$ = true; }
4834 ()
4835 def p_unsigned_signed_opt_2(p):
4836 '''unsigned_signed_opt : K_unsigned '''
4837 print('unsigned_signed_opt', list(p))
4838 # { $$ = false; }
4839 ()
4840 def p_unsigned_signed_opt_3(p):
4841 '''unsigned_signed_opt : '''
4842 print('unsigned_signed_opt', list(p))
4843 # { $$ = false; }
4844 ()
4845 def p_signed_unsigned_opt_1(p):
4846 '''signed_unsigned_opt : K_signed '''
4847 print('signed_unsigned_opt', list(p))
4848 # { $$ = true; }
4849 ()
4850 def p_signed_unsigned_opt_2(p):
4851 '''signed_unsigned_opt : K_unsigned '''
4852 print('signed_unsigned_opt', list(p))
4853 # { $$ = false; }
4854 ()
4855 def p_signed_unsigned_opt_3(p):
4856 '''signed_unsigned_opt : '''
4857 print('signed_unsigned_opt', list(p))
4858 # { $$ = true; }
4859 ()
4860 def p_atom2_type_1(p):
4861 '''atom2_type : K_byte '''
4862 print('atom2_type', list(p))
4863 # { $$ = 8; }
4864 ()
4865 def p_atom2_type_2(p):
4866 '''atom2_type : K_shortint '''
4867 print('atom2_type', list(p))
4868 # { $$ = 16; }
4869 ()
4870 def p_atom2_type_3(p):
4871 '''atom2_type : K_int '''
4872 print('atom2_type', list(p))
4873 # { $$ = 32; }
4874 ()
4875 def p_atom2_type_4(p):
4876 '''atom2_type : K_longint '''
4877 print('atom2_type', list(p))
4878 # { $$ = 64; }
4879 ()
4880 def p_lpvalue_1(p):
4881 '''lpvalue : hierarchy_identifier '''
4882 print('lpvalue', list(p))
4883 p[0] = p[1]
4884 # { PEIdent*tmp = pform_new_ident(*$1);
4885 # FILE_NAME(tmp, @1);
4886 # $$ = tmp;
4887 # delete $1;
4888 # }
4889 ()
4890 def p_lpvalue_2(p):
4891 '''lpvalue : implicit_class_handle '.' hierarchy_identifier '''
4892 print('lpvalue', list(p))
4893 # { pform_name_t*t_name = $1;
4894 # while (!$3->empty()) {
4895 # t_name->push_back($3->front());
4896 # $3->pop_front();
4897 # }
4898 # PEIdent*tmp = new PEIdent(*t_name);
4899 # FILE_NAME(tmp, @1);
4900 # $$ = tmp;
4901 # delete $1;
4902 # delete $3;
4903 # }
4904 ()
4905 def p_lpvalue_3(p):
4906 '''lpvalue : '{' expression_list_proper '}' '''
4907 print('lpvalue', list(p))
4908 # { PEConcat*tmp = new PEConcat(*$2);
4909 # FILE_NAME(tmp, @1);
4910 # delete $2;
4911 # $$ = tmp;
4912 # }
4913 ()
4914 def p_lpvalue_4(p):
4915 '''lpvalue : streaming_concatenation '''
4916 print('lpvalue', list(p))
4917 # { yyerror(@1, "sorry: streaming concatenation not supported in l-values.");
4918 # $$ = 0;
4919 # }
4920 ()
4921 def p_cont_assign_1(p):
4922 '''cont_assign : lpvalue '=' expression '''
4923 print('cont_assign', list(p))
4924 # { list<PExpr*>*tmp = new list<PExpr*>;
4925 # tmp->push_back($1);
4926 # tmp->push_back($3);
4927 # $$ = tmp;
4928 # }
4929 ()
4930 def p_cont_assign_list_1(p):
4931 '''cont_assign_list : cont_assign_list ',' cont_assign '''
4932 print('cont_assign_list', list(p))
4933 # { list<PExpr*>*tmp = $1;
4934 # tmp->splice(tmp->end(), *$3);
4935 # delete $3;
4936 # $$ = tmp;
4937 # }
4938 ()
4939 def p_cont_assign_list_2(p):
4940 '''cont_assign_list : cont_assign '''
4941 print('cont_assign_list', list(p))
4942 # { $$ = $1; }
4943 ()
4944 def p_module_1(p):
4945 '''module : attribute_list_opt module_start lifetime_opt IDENTIFIER _embed0_module module_package_import_list_opt module_parameter_port_list_opt module_port_list_opt module_attribute_foreign ';' _embed1_module timeunits_declaration_opt _embed2_module module_item_list_opt module_end _embed3_module endlabel_opt '''
4946 print('module', list(p))
4947 # { // Last step: check any closing name. This is done late so
4948 # // that the parser can look ahead to detect the present
4949 # // endlabel_opt but still have the pform_endmodule() called
4950 # // early enough that the lexor can know we are outside the
4951 # // module.
4952 # if ($17) {
4953 # if (strcmp($4,$17) != 0) {
4954 # switch ($2) {
4955 # case K_module:
4956 # yyerror(@17, "error: End label doesn't match "
4957 # "module name.");
4958 # break;
4959 # case K_program:
4960 # yyerror(@17, "error: End label doesn't match "
4961 # "program name.");
4962 # break;
4963 # case K_interface:
4964 # yyerror(@17, "error: End label doesn't match "
4965 # "interface name.");
4966 # break;
4967 # default:
4968 # break;
4969 # }
4970 # }
4971 # if (($2 == K_module) && (! gn_system_verilog())) {
4972 # yyerror(@8, "error: Module end labels require "
4973 # "SystemVerilog.");
4974 # }
4975 # delete[]$17;
4976 # }
4977 # delete[]$4;
4978 # }
4979 ()
4980 def p__embed0_module(p):
4981 '''_embed0_module : '''
4982 # { pform_startmodule(@2, $4, $2==K_program, $2==K_interface, $3, $1); }
4983 ()
4984 def p__embed1_module(p):
4985 '''_embed1_module : '''
4986 # { pform_module_set_ports($8); }
4987 ()
4988 def p__embed2_module(p):
4989 '''_embed2_module : '''
4990 # { pform_set_scope_timescale(@2); }
4991 ()
4992 def p__embed3_module(p):
4993 '''_embed3_module : '''
4994 # { Module::UCDriveType ucd;
4995 # // The lexor detected `unconnected_drive directives and
4996 # // marked what it found in the uc_drive variable. Use that
4997 # // to generate a UCD flag for the module.
4998 # switch (uc_drive) {
4999 # case UCD_NONE:
5000 # default:
5001 # ucd = Module::UCD_NONE;
5002 # break;
5003 # case UCD_PULL0:
5004 # ucd = Module::UCD_PULL0;
5005 # break;
5006 # case UCD_PULL1:
5007 # ucd = Module::UCD_PULL1;
5008 # break;
5009 # }
5010 # // Check that program/endprogram and module/endmodule
5011 # // keywords match.
5012 # if ($2 != $15) {
5013 # switch ($2) {
5014 # case K_module:
5015 # yyerror(@15, "error: module not closed by endmodule.");
5016 # break;
5017 # case K_program:
5018 # yyerror(@15, "error: program not closed by endprogram.");
5019 # break;
5020 # case K_interface:
5021 # yyerror(@15, "error: interface not closed by endinterface.");
5022 # break;
5023 # default:
5024 # break;
5025 # }
5026 # }
5027 # pform_endmodule($4, in_celldefine, ucd);
5028 # }
5029 ()
5030 def p_module_start_1(p):
5031 '''module_start : K_module '''
5032 print('module_start', list(p))
5033 # { $$ = K_module; }
5034 ()
5035 def p_module_start_2(p):
5036 '''module_start : K_macromodule '''
5037 print('module_start', list(p))
5038 # { $$ = K_module; }
5039 ()
5040 def p_module_start_3(p):
5041 '''module_start : K_program '''
5042 print('module_start', list(p))
5043 # { $$ = K_program; }
5044 ()
5045 def p_module_start_4(p):
5046 '''module_start : K_interface '''
5047 print('module_start', list(p))
5048 # { $$ = K_interface; }
5049 ()
5050 def p_module_end_1(p):
5051 '''module_end : K_endmodule '''
5052 print('module_end', list(p))
5053 # { $$ = K_module; }
5054 ()
5055 def p_module_end_2(p):
5056 '''module_end : K_endprogram '''
5057 print('module_end', list(p))
5058 # { $$ = K_program; }
5059 ()
5060 def p_module_end_3(p):
5061 '''module_end : K_endinterface '''
5062 print('module_end', list(p))
5063 # { $$ = K_interface; }
5064 ()
5065 def p_endlabel_opt_1(p):
5066 '''endlabel_opt : ':' IDENTIFIER '''
5067 print('endlabel_opt', list(p))
5068 # { $$ = $2; }
5069 ()
5070 def p_endlabel_opt_2(p):
5071 '''endlabel_opt : '''
5072 print('endlabel_opt', list(p))
5073 # { $$ = 0; }
5074 ()
5075 def p_module_attribute_foreign_1(p):
5076 '''module_attribute_foreign : K_PSTAR IDENTIFIER K_integer IDENTIFIER '=' STRING ';' K_STARP '''
5077 print('module_attribute_foreign', list(p))
5078 # { $$ = 0; }
5079 ()
5080 def p_module_attribute_foreign_2(p):
5081 '''module_attribute_foreign : '''
5082 print('module_attribute_foreign', list(p))
5083 # { $$ = 0; }
5084 ()
5085 def p_module_port_list_opt_1(p):
5086 '''module_port_list_opt : '(' list_of_ports ')' '''
5087 print('module_port_list_opt', list(p))
5088 # { $$ = $2; }
5089 ()
5090 def p_module_port_list_opt_2(p):
5091 '''module_port_list_opt : '(' list_of_port_declarations ')' '''
5092 print('module_port_list_opt', list(p))
5093 # { $$ = $2; }
5094 ()
5095 def p_module_port_list_opt_3(p):
5096 '''module_port_list_opt : '''
5097 print('module_port_list_opt', list(p))
5098 # { $$ = 0; }
5099 ()
5100 def p_module_port_list_opt_4(p):
5101 '''module_port_list_opt : '(' error ')' '''
5102 print('module_port_list_opt', list(p))
5103 # { yyerror(@2, "Errors in port declarations.");
5104 # yyerrok;
5105 # $$ = 0;
5106 # }
5107 ()
5108 def p_module_parameter_port_list_opt_1(p):
5109 '''module_parameter_port_list_opt : '''
5110 print('module_parameter_port_list_opt', list(p))
5111 ()
5112 def p_module_parameter_port_list_opt_2(p):
5113 '''module_parameter_port_list_opt : '#' '(' module_parameter_port_list ')' '''
5114 print('module_parameter_port_list_opt', list(p))
5115 ()
5116 def p_module_parameter_port_list_1(p):
5117 '''module_parameter_port_list : K_parameter param_type parameter_assign '''
5118 print('module_parameter_port_list', list(p))
5119 ()
5120 def p_module_parameter_port_list_2(p):
5121 '''module_parameter_port_list : module_parameter_port_list ',' parameter_assign '''
5122 print('module_parameter_port_list', list(p))
5123 ()
5124 def p_module_parameter_port_list_3(p):
5125 '''module_parameter_port_list : module_parameter_port_list ',' K_parameter param_type parameter_assign '''
5126 print('module_parameter_port_list', list(p))
5127 ()
5128 def p_module_item_1(p):
5129 '''module_item : module '''
5130 print('module_item', list(p))
5131 ()
5132 def p_module_item_2(p):
5133 '''module_item : attribute_list_opt net_type data_type_or_implicit delay3_opt net_variable_list ';' '''
5134 print('module_item', list(p))
5135 # { data_type_t*data_type = $3;
5136 # if (data_type == 0) {
5137 # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
5138 # FILE_NAME(data_type, @2);
5139 # }
5140 # pform_set_data_type(@2, data_type, $5, $2, $1);
5141 # if ($4 != 0) {
5142 # yyerror(@2, "sorry: net delays not supported.");
5143 # delete $4;
5144 # }
5145 # delete $1;
5146 # }
5147 ()
5148 def p_module_item_3(p):
5149 '''module_item : attribute_list_opt K_wreal delay3 net_variable_list ';' '''
5150 print('module_item', list(p))
5151 # { real_type_t*tmpt = new real_type_t(real_type_t::REAL);
5152 # pform_set_data_type(@2, tmpt, $4, NetNet::WIRE, $1);
5153 # if ($3 != 0) {
5154 # yyerror(@3, "sorry: net delays not supported.");
5155 # delete $3;
5156 # }
5157 # delete $1;
5158 # }
5159 ()
5160 def p_module_item_4(p):
5161 '''module_item : attribute_list_opt K_wreal net_variable_list ';' '''
5162 print('module_item', list(p))
5163 # { real_type_t*tmpt = new real_type_t(real_type_t::REAL);
5164 # pform_set_data_type(@2, tmpt, $3, NetNet::WIRE, $1);
5165 # delete $1;
5166 # }
5167 ()
5168 def p_module_item_5(p):
5169 '''module_item : attribute_list_opt net_type data_type_or_implicit delay3_opt net_decl_assigns ';' '''
5170 print('module_item', list(p))
5171 # { data_type_t*data_type = $3;
5172 # if (data_type == 0) {
5173 # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
5174 # FILE_NAME(data_type, @2);
5175 # }
5176 # pform_makewire(@2, $4, str_strength, $5, $2, data_type);
5177 # if ($1) {
5178 # yywarn(@2, "Attributes are not supported on net declaration "
5179 # "assignments and will be discarded.");
5180 # delete $1;
5181 # }
5182 # }
5183 ()
5184 def p_module_item_6(p):
5185 '''module_item : attribute_list_opt net_type data_type_or_implicit drive_strength net_decl_assigns ';' '''
5186 print('module_item', list(p))
5187 # { data_type_t*data_type = $3;
5188 # if (data_type == 0) {
5189 # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
5190 # FILE_NAME(data_type, @2);
5191 # }
5192 # pform_makewire(@2, 0, $4, $5, $2, data_type);
5193 # if ($1) {
5194 # yywarn(@2, "Attributes are not supported on net declaration "
5195 # "assignments and will be discarded.");
5196 # delete $1;
5197 # }
5198 # }
5199 ()
5200 def p_module_item_7(p):
5201 '''module_item : attribute_list_opt K_wreal net_decl_assigns ';' '''
5202 print('module_item', list(p))
5203 # { real_type_t*data_type = new real_type_t(real_type_t::REAL);
5204 # pform_makewire(@2, 0, str_strength, $3, NetNet::WIRE, data_type);
5205 # if ($1) {
5206 # yywarn(@2, "Attributes are not supported on net declaration "
5207 # "assignments and will be discarded.");
5208 # delete $1;
5209 # }
5210 # }
5211 ()
5212 def p_module_item_8(p):
5213 '''module_item : K_trireg charge_strength_opt dimensions_opt delay3_opt list_of_identifiers ';' '''
5214 print('module_item', list(p))
5215 # { yyerror(@1, "sorry: trireg nets not supported.");
5216 # delete $3;
5217 # delete $4;
5218 # }
5219 ()
5220 def p_module_item_9(p):
5221 '''module_item : attribute_list_opt port_direction net_type data_type_or_implicit list_of_port_identifiers ';' '''
5222 print('module_item', list(p))
5223 # { pform_module_define_port(@2, $5, $2, $3, $4, $1); }
5224 ()
5225 def p_module_item_10(p):
5226 '''module_item : attribute_list_opt port_direction K_wreal list_of_port_identifiers ';' '''
5227 print('module_item', list(p))
5228 # { real_type_t*real_type = new real_type_t(real_type_t::REAL);
5229 # pform_module_define_port(@2, $4, $2, NetNet::WIRE, real_type, $1);
5230 # }
5231 ()
5232 def p_module_item_11(p):
5233 '''module_item : attribute_list_opt K_inout data_type_or_implicit list_of_port_identifiers ';' '''
5234 print('module_item', list(p))
5235 # { NetNet::Type use_type = $3 ? NetNet::IMPLICIT : NetNet::NONE;
5236 # if (vector_type_t*dtype = dynamic_cast<vector_type_t*> ($3)) {
5237 # if (dtype->implicit_flag)
5238 # use_type = NetNet::NONE;
5239 # }
5240 # if (use_type == NetNet::NONE)
5241 # pform_set_port_type(@2, $4, NetNet::PINOUT, $3, $1);
5242 # else
5243 # pform_module_define_port(@2, $4, NetNet::PINOUT, use_type, $3, $1);
5244 # }
5245 ()
5246 def p_module_item_12(p):
5247 '''module_item : attribute_list_opt K_input data_type_or_implicit list_of_port_identifiers ';' '''
5248 print('module_item', list(p))
5249 # { NetNet::Type use_type = $3 ? NetNet::IMPLICIT : NetNet::NONE;
5250 # if (vector_type_t*dtype = dynamic_cast<vector_type_t*> ($3)) {
5251 # if (dtype->implicit_flag)
5252 # use_type = NetNet::NONE;
5253 # }
5254 # if (use_type == NetNet::NONE)
5255 # pform_set_port_type(@2, $4, NetNet::PINPUT, $3, $1);
5256 # else
5257 # pform_module_define_port(@2, $4, NetNet::PINPUT, use_type, $3, $1);
5258 # }
5259 ()
5260 def p_module_item_13(p):
5261 '''module_item : attribute_list_opt K_output data_type_or_implicit list_of_variable_port_identifiers ';' '''
5262 print('module_item', list(p))
5263 # { NetNet::Type use_type = $3 ? NetNet::IMPLICIT : NetNet::NONE;
5264 # if (vector_type_t*dtype = dynamic_cast<vector_type_t*> ($3)) {
5265 # if (dtype->implicit_flag)
5266 # use_type = NetNet::NONE;
5267 # else if (dtype->reg_flag)
5268 # use_type = NetNet::REG;
5269 # else
5270 # use_type = NetNet::IMPLICIT_REG;
5271 #
5272 # // The SystemVerilog types that can show up as
5273 # // output ports are implicitly (on the inside)
5274 # // variables because "reg" is not valid syntax
5275 # // here.
5276 # } else if (dynamic_cast<atom2_type_t*> ($3)) {
5277 # use_type = NetNet::IMPLICIT_REG;
5278 # } else if (dynamic_cast<struct_type_t*> ($3)) {
5279 # use_type = NetNet::IMPLICIT_REG;
5280 # } else if (enum_type_t*etype = dynamic_cast<enum_type_t*> ($3)) {
5281 # if(etype->base_type == IVL_VT_LOGIC)
5282 # use_type = NetNet::IMPLICIT_REG;
5283 # }
5284 # if (use_type == NetNet::NONE)
5285 # pform_set_port_type(@2, $4, NetNet::POUTPUT, $3, $1);
5286 # else
5287 # pform_module_define_port(@2, $4, NetNet::POUTPUT, use_type, $3, $1);
5288 # }
5289 ()
5290 def p_module_item_14(p):
5291 '''module_item : attribute_list_opt port_direction net_type data_type_or_implicit error ';' '''
5292 print('module_item', list(p))
5293 # { yyerror(@2, "error: Invalid variable list in port declaration.");
5294 # if ($1) delete $1;
5295 # if ($4) delete $4;
5296 # yyerrok;
5297 # }
5298 ()
5299 def p_module_item_15(p):
5300 '''module_item : attribute_list_opt K_inout data_type_or_implicit error ';' '''
5301 print('module_item', list(p))
5302 # { yyerror(@2, "error: Invalid variable list in port declaration.");
5303 # if ($1) delete $1;
5304 # if ($3) delete $3;
5305 # yyerrok;
5306 # }
5307 ()
5308 def p_module_item_16(p):
5309 '''module_item : attribute_list_opt K_input data_type_or_implicit error ';' '''
5310 print('module_item', list(p))
5311 # { yyerror(@2, "error: Invalid variable list in port declaration.");
5312 # if ($1) delete $1;
5313 # if ($3) delete $3;
5314 # yyerrok;
5315 # }
5316 ()
5317 def p_module_item_17(p):
5318 '''module_item : attribute_list_opt K_output data_type_or_implicit error ';' '''
5319 print('module_item', list(p))
5320 # { yyerror(@2, "error: Invalid variable list in port declaration.");
5321 # if ($1) delete $1;
5322 # if ($3) delete $3;
5323 # yyerrok;
5324 # }
5325 ()
5326 def p_module_item_18(p):
5327 '''module_item : DISCIPLINE_IDENTIFIER list_of_identifiers ';' '''
5328 print('module_item', list(p))
5329 # { pform_attach_discipline(@1, $1, $2); }
5330 ()
5331 def p_module_item_19(p):
5332 '''module_item : attribute_list_opt _embed0_module_item block_item_decl '''
5333 print('module_item', list(p))
5334 # { delete attributes_in_context;
5335 # attributes_in_context = 0;
5336 # }
5337 ()
5338 def p_module_item_20(p):
5339 '''module_item : K_defparam _embed1_module_item defparam_assign_list ';' '''
5340 print('module_item', list(p))
5341 ()
5342 def p_module_item_21(p):
5343 '''module_item : attribute_list_opt gatetype gate_instance_list ';' '''
5344 print('module_item', list(p))
5345 # { pform_makegates(@2, $2, str_strength, 0, $3, $1); }
5346 ()
5347 def p_module_item_22(p):
5348 '''module_item : attribute_list_opt gatetype delay3 gate_instance_list ';' '''
5349 print('module_item', list(p))
5350 # { pform_makegates(@2, $2, str_strength, $3, $4, $1); }
5351 ()
5352 def p_module_item_23(p):
5353 '''module_item : attribute_list_opt gatetype drive_strength gate_instance_list ';' '''
5354 print('module_item', list(p))
5355 # { pform_makegates(@2, $2, $3, 0, $4, $1); }
5356 ()
5357 def p_module_item_24(p):
5358 '''module_item : attribute_list_opt gatetype drive_strength delay3 gate_instance_list ';' '''
5359 print('module_item', list(p))
5360 # { pform_makegates(@2, $2, $3, $4, $5, $1); }
5361 ()
5362 def p_module_item_25(p):
5363 '''module_item : attribute_list_opt switchtype gate_instance_list ';' '''
5364 print('module_item', list(p))
5365 # { pform_makegates(@2, $2, str_strength, 0, $3, $1); }
5366 ()
5367 def p_module_item_26(p):
5368 '''module_item : attribute_list_opt switchtype delay3 gate_instance_list ';' '''
5369 print('module_item', list(p))
5370 # { pform_makegates(@2, $2, str_strength, $3, $4, $1); }
5371 ()
5372 def p_module_item_27(p):
5373 '''module_item : K_pullup gate_instance_list ';' '''
5374 print('module_item', list(p))
5375 # { pform_makegates(@1, PGBuiltin::PULLUP, pull_strength, 0, $2, 0); }
5376 ()
5377 def p_module_item_28(p):
5378 '''module_item : K_pulldown gate_instance_list ';' '''
5379 print('module_item', list(p))
5380 # { pform_makegates(@1, PGBuiltin::PULLDOWN, pull_strength, 0, $2, 0); }
5381 ()
5382 def p_module_item_29(p):
5383 '''module_item : K_pullup '(' dr_strength1 ')' gate_instance_list ';' '''
5384 print('module_item', list(p))
5385 # { pform_makegates(@1, PGBuiltin::PULLUP, $3, 0, $5, 0); }
5386 ()
5387 def p_module_item_30(p):
5388 '''module_item : K_pullup '(' dr_strength1 ',' dr_strength0 ')' gate_instance_list ';' '''
5389 print('module_item', list(p))
5390 # { pform_makegates(@1, PGBuiltin::PULLUP, $3, 0, $7, 0); }
5391 ()
5392 def p_module_item_31(p):
5393 '''module_item : K_pullup '(' dr_strength0 ',' dr_strength1 ')' gate_instance_list ';' '''
5394 print('module_item', list(p))
5395 # { pform_makegates(@1, PGBuiltin::PULLUP, $5, 0, $7, 0); }
5396 ()
5397 def p_module_item_32(p):
5398 '''module_item : K_pulldown '(' dr_strength0 ')' gate_instance_list ';' '''
5399 print('module_item', list(p))
5400 # { pform_makegates(@1, PGBuiltin::PULLDOWN, $3, 0, $5, 0); }
5401 ()
5402 def p_module_item_33(p):
5403 '''module_item : K_pulldown '(' dr_strength1 ',' dr_strength0 ')' gate_instance_list ';' '''
5404 print('module_item', list(p))
5405 # { pform_makegates(@1, PGBuiltin::PULLDOWN, $5, 0, $7, 0); }
5406 ()
5407 def p_module_item_34(p):
5408 '''module_item : K_pulldown '(' dr_strength0 ',' dr_strength1 ')' gate_instance_list ';' '''
5409 print('module_item', list(p))
5410 # { pform_makegates(@1, PGBuiltin::PULLDOWN, $3, 0, $7, 0); }
5411 ()
5412 def p_module_item_35(p):
5413 '''module_item : attribute_list_opt IDENTIFIER parameter_value_opt gate_instance_list ';' '''
5414 print('module_item', list(p))
5415 # { perm_string tmp1 = lex_strings.make($2);
5416 # pform_make_modgates(@2, tmp1, $3, $4, $1);
5417 # delete[]$2;
5418 # }
5419 ()
5420 def p_module_item_36(p):
5421 '''module_item : attribute_list_opt IDENTIFIER parameter_value_opt error ';' '''
5422 print('module_item', list(p))
5423 # { yyerror(@2, "error: Invalid module instantiation");
5424 # delete[]$2;
5425 # if ($1) delete $1;
5426 # }
5427 ()
5428 def p_module_item_37(p):
5429 '''module_item : K_assign drive_strength_opt delay3_opt cont_assign_list ';' '''
5430 print('module_item', list(p))
5431 # { pform_make_pgassign_list($4, $3, $2, @1.text, @1.first_line); }
5432 ()
5433 def p_module_item_38(p):
5434 '''module_item : attribute_list_opt K_always statement_item '''
5435 print('module_item', list(p))
5436 # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS, $3, $1);
5437 # FILE_NAME(tmp, @2);
5438 # }
5439 ()
5440 def p_module_item_39(p):
5441 '''module_item : attribute_list_opt K_always_comb statement_item '''
5442 print('module_item', list(p))
5443 # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_COMB, $3, $1);
5444 # FILE_NAME(tmp, @2);
5445 # }
5446 ()
5447 def p_module_item_40(p):
5448 '''module_item : attribute_list_opt K_always_ff statement_item '''
5449 print('module_item', list(p))
5450 # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_FF, $3, $1);
5451 # FILE_NAME(tmp, @2);
5452 # }
5453 ()
5454 def p_module_item_41(p):
5455 '''module_item : attribute_list_opt K_always_latch statement_item '''
5456 print('module_item', list(p))
5457 # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_LATCH, $3, $1);
5458 # FILE_NAME(tmp, @2);
5459 # }
5460 ()
5461 def p_module_item_42(p):
5462 '''module_item : attribute_list_opt K_initial statement_item '''
5463 print('module_item', list(p))
5464 # { PProcess*tmp = pform_make_behavior(IVL_PR_INITIAL, $3, $1);
5465 # FILE_NAME(tmp, @2);
5466 # }
5467 ()
5468 def p_module_item_43(p):
5469 '''module_item : attribute_list_opt K_final statement_item '''
5470 print('module_item', list(p))
5471 # { PProcess*tmp = pform_make_behavior(IVL_PR_FINAL, $3, $1);
5472 # FILE_NAME(tmp, @2);
5473 # }
5474 ()
5475 def p_module_item_44(p):
5476 '''module_item : attribute_list_opt K_analog analog_statement '''
5477 print('module_item', list(p))
5478 # { pform_make_analog_behavior(@2, IVL_PR_ALWAYS, $3); }
5479 ()
5480 def p_module_item_45(p):
5481 '''module_item : attribute_list_opt assertion_item '''
5482 print('module_item', list(p))
5483 ()
5484 def p_module_item_46(p):
5485 '''module_item : timeunits_declaration '''
5486 print('module_item', list(p))
5487 ()
5488 def p_module_item_47(p):
5489 '''module_item : class_declaration '''
5490 print('module_item', list(p))
5491 ()
5492 def p_module_item_48(p):
5493 '''module_item : task_declaration '''
5494 print('module_item', list(p))
5495 ()
5496 def p_module_item_49(p):
5497 '''module_item : function_declaration '''
5498 print('module_item', list(p))
5499 ()
5500 def p_module_item_50(p):
5501 '''module_item : K_generate generate_item_list_opt K_endgenerate '''
5502 print('module_item', list(p))
5503 # { // Test for bad nesting. I understand it, but it is illegal.
5504 # if (pform_parent_generate()) {
5505 # cerr << @1 << ": error: Generate/endgenerate regions cannot nest." << endl;
5506 # cerr << @1 << ": : Try removing optional generate/endgenerate keywords," << endl;
5507 # cerr << @1 << ": : or move them to surround the parent generate scheme." << endl;
5508 # error_count += 1;
5509 # }
5510 # }
5511 ()
5512 def p_module_item_51(p):
5513 '''module_item : K_genvar list_of_identifiers ';' '''
5514 print('module_item', list(p))
5515 # { pform_genvars(@1, $2); }
5516 ()
5517 def p_module_item_52(p):
5518 '''module_item : K_for '(' IDENTIFIER '=' expression ';' expression ';' IDENTIFIER '=' expression ')' _embed2_module_item generate_block '''
5519 print('module_item', list(p))
5520 # { pform_endgenerate(); }
5521 ()
5522 def p_module_item_53(p):
5523 '''module_item : generate_if generate_block_opt K_else _embed3_module_item generate_block '''
5524 print('module_item', list(p))
5525 # { pform_endgenerate(); }
5526 ()
5527 def p_module_item_54(p):
5528 '''module_item : generate_if generate_block_opt %prec less_than_K_else '''
5529 print('module_item', list(p))
5530 # { pform_endgenerate(); }
5531 ()
5532 def p_module_item_55(p):
5533 '''module_item : K_case '(' expression ')' _embed4_module_item generate_case_items K_endcase '''
5534 print('module_item', list(p))
5535 # { pform_endgenerate(); }
5536 ()
5537 def p_module_item_56(p):
5538 '''module_item : modport_declaration '''
5539 print('module_item', list(p))
5540 ()
5541 def p_module_item_57(p):
5542 '''module_item : package_import_declaration '''
5543 print('module_item', list(p))
5544 ()
5545 def p_module_item_58(p):
5546 '''module_item : attribute_list_opt K_specparam _embed5_module_item specparam_decl ';' '''
5547 print('module_item', list(p))
5548 ()
5549 def p_module_item_59(p):
5550 '''module_item : K_specify _embed6_module_item specify_item_list_opt K_endspecify '''
5551 print('module_item', list(p))
5552 ()
5553 def p_module_item_60(p):
5554 '''module_item : K_specify error K_endspecify '''
5555 print('module_item', list(p))
5556 # { yyerror(@1, "error: syntax error in specify block");
5557 # yyerrok;
5558 # }
5559 ()
5560 def p_module_item_61(p):
5561 '''module_item : error ';' '''
5562 print('module_item', list(p))
5563 # { yyerror(@2, "error: invalid module item.");
5564 # yyerrok;
5565 # }
5566 ()
5567 def p_module_item_62(p):
5568 '''module_item : K_assign error '=' expression ';' '''
5569 print('module_item', list(p))
5570 # { yyerror(@1, "error: syntax error in left side "
5571 # "of continuous assignment.");
5572 # yyerrok;
5573 # }
5574 ()
5575 def p_module_item_63(p):
5576 '''module_item : K_assign error ';' '''
5577 print('module_item', list(p))
5578 # { yyerror(@1, "error: syntax error in "
5579 # "continuous assignment");
5580 # yyerrok;
5581 # }
5582 ()
5583 def p_module_item_64(p):
5584 '''module_item : K_function error K_endfunction endlabel_opt '''
5585 print('module_item', list(p))
5586 # { yyerror(@1, "error: I give up on this "
5587 # "function definition.");
5588 # if ($4) {
5589 # if (!gn_system_verilog()) {
5590 # yyerror(@4, "error: Function end names require "
5591 # "SystemVerilog.");
5592 # }
5593 # delete[]$4;
5594 # }
5595 # yyerrok;
5596 # }
5597 ()
5598 def p_module_item_65(p):
5599 '''module_item : KK_attribute '(' IDENTIFIER ',' STRING ',' STRING ')' ';' '''
5600 print('module_item', list(p))
5601 # { perm_string tmp3 = lex_strings.make($3);
5602 # perm_string tmp5 = lex_strings.make($5);
5603 # pform_set_attrib(tmp3, tmp5, $7);
5604 # delete[] $3;
5605 # delete[] $5;
5606 # }
5607 ()
5608 def p_module_item_66(p):
5609 '''module_item : KK_attribute '(' error ')' ';' '''
5610 print('module_item', list(p))
5611 # { yyerror(@1, "error: Malformed $attribute parameter list."); }
5612 ()
5613 def p__embed0_module_item(p):
5614 '''_embed0_module_item : '''
5615 # { attributes_in_context = $1; }
5616 ()
5617 def p__embed1_module_item(p):
5618 '''_embed1_module_item : '''
5619 # { if (pform_in_interface())
5620 # yyerror(@1, "error: Parameter overrides are not allowed "
5621 # "in interfaces.");
5622 # }
5623 ()
5624 def p__embed2_module_item(p):
5625 '''_embed2_module_item : '''
5626 # { pform_start_generate_for(@1, $3, $5, $7, $9, $11); }
5627 ()
5628 def p__embed3_module_item(p):
5629 '''_embed3_module_item : '''
5630 # { pform_start_generate_else(@1); }
5631 ()
5632 def p__embed4_module_item(p):
5633 '''_embed4_module_item : '''
5634 # { pform_start_generate_case(@1, $3); }
5635 ()
5636 def p__embed5_module_item(p):
5637 '''_embed5_module_item : '''
5638 # { if (pform_in_interface())
5639 # yyerror(@1, "error: specparam declarations are not allowed "
5640 # "in interfaces.");
5641 # }
5642 ()
5643 def p__embed6_module_item(p):
5644 '''_embed6_module_item : '''
5645 # { if (pform_in_interface())
5646 # yyerror(@1, "error: specify blocks are not allowed "
5647 # "in interfaces.");
5648 # }
5649 ()
5650 def p_module_item_list_1(p):
5651 '''module_item_list : module_item_list module_item '''
5652 print('module_item_list', list(p))
5653 ()
5654 def p_module_item_list_2(p):
5655 '''module_item_list : module_item '''
5656 print('module_item_list', list(p))
5657 ()
5658 def p_module_item_list_opt_1(p):
5659 '''module_item_list_opt : module_item_list '''
5660 print('module_item_list_opt', list(p))
5661 ()
5662 def p_module_item_list_opt_2(p):
5663 '''module_item_list_opt : '''
5664 print('module_item_list_opt', list(p))
5665 ()
5666 def p_generate_if_1(p):
5667 '''generate_if : K_if '(' expression ')' '''
5668 print('generate_if', list(p))
5669 # { pform_start_generate_if(@1, $3); }
5670 ()
5671 def p_generate_case_items_1(p):
5672 '''generate_case_items : generate_case_items generate_case_item '''
5673 print('generate_case_items', list(p))
5674 ()
5675 def p_generate_case_items_2(p):
5676 '''generate_case_items : generate_case_item '''
5677 print('generate_case_items', list(p))
5678 ()
5679 def p_generate_case_item_1(p):
5680 '''generate_case_item : expression_list_proper ':' _embed0_generate_case_item generate_block_opt '''
5681 print('generate_case_item', list(p))
5682 # { pform_endgenerate(); }
5683 ()
5684 def p_generate_case_item_2(p):
5685 '''generate_case_item : K_default ':' _embed1_generate_case_item generate_block_opt '''
5686 print('generate_case_item', list(p))
5687 # { pform_endgenerate(); }
5688 ()
5689 def p__embed0_generate_case_item(p):
5690 '''_embed0_generate_case_item : '''
5691 # { pform_generate_case_item(@1, $1); }
5692 ()
5693 def p__embed1_generate_case_item(p):
5694 '''_embed1_generate_case_item : '''
5695 # { pform_generate_case_item(@1, 0); }
5696 ()
5697 def p_generate_item_1(p):
5698 '''generate_item : module_item '''
5699 print('generate_item', list(p))
5700 ()
5701 def p_generate_item_2(p):
5702 '''generate_item : K_begin generate_item_list_opt K_end '''
5703 print('generate_item', list(p))
5704 # { /* Detect and warn about anachronistic begin/end use */
5705 # if (generation_flag > GN_VER2001 && warn_anachronisms) {
5706 # warn_count += 1;
5707 # cerr << @1 << ": warning: Anachronistic use of begin/end to surround generate schemes." << endl;
5708 # }
5709 # }
5710 ()
5711 def p_generate_item_3(p):
5712 '''generate_item : K_begin ':' IDENTIFIER _embed0_generate_item generate_item_list_opt K_end '''
5713 print('generate_item', list(p))
5714 # { /* Detect and warn about anachronistic named begin/end use */
5715 # if (generation_flag > GN_VER2001 && warn_anachronisms) {
5716 # warn_count += 1;
5717 # cerr << @1 << ": warning: Anachronistic use of named begin/end to surround generate schemes." << endl;
5718 # }
5719 # pform_endgenerate();
5720 # }
5721 ()
5722 def p__embed0_generate_item(p):
5723 '''_embed0_generate_item : '''
5724 # {
5725 # pform_start_generate_nblock(@1, $3);
5726 # }
5727 ()
5728 def p_generate_item_list_1(p):
5729 '''generate_item_list : generate_item_list generate_item '''
5730 print('generate_item_list', list(p))
5731 ()
5732 def p_generate_item_list_2(p):
5733 '''generate_item_list : generate_item '''
5734 print('generate_item_list', list(p))
5735 ()
5736 def p_generate_item_list_opt_1(p):
5737 '''generate_item_list_opt : generate_item_list '''
5738 print('generate_item_list_opt', list(p))
5739 ()
5740 def p_generate_item_list_opt_2(p):
5741 '''generate_item_list_opt : '''
5742 print('generate_item_list_opt', list(p))
5743 ()
5744 def p_generate_block_1(p):
5745 '''generate_block : module_item '''
5746 print('generate_block', list(p))
5747 ()
5748 def p_generate_block_2(p):
5749 '''generate_block : K_begin generate_item_list_opt K_end '''
5750 print('generate_block', list(p))
5751 ()
5752 def p_generate_block_3(p):
5753 '''generate_block : K_begin ':' IDENTIFIER generate_item_list_opt K_end endlabel_opt '''
5754 print('generate_block', list(p))
5755 # { pform_generate_block_name($3);
5756 # if ($6) {
5757 # if (strcmp($3,$6) != 0) {
5758 # yyerror(@6, "error: End label doesn't match "
5759 # "begin name");
5760 # }
5761 # if (! gn_system_verilog()) {
5762 # yyerror(@6, "error: Begin end labels require "
5763 # "SystemVerilog.");
5764 # }
5765 # delete[]$6;
5766 # }
5767 # delete[]$3;
5768 # }
5769 ()
5770 def p_generate_block_opt_1(p):
5771 '''generate_block_opt : generate_block '''
5772 print('generate_block_opt', list(p))
5773 ()
5774 def p_generate_block_opt_2(p):
5775 '''generate_block_opt : ';' '''
5776 print('generate_block_opt', list(p))
5777 ()
5778 def p_net_decl_assign_1(p):
5779 '''net_decl_assign : IDENTIFIER '=' expression '''
5780 print('net_decl_assign', list(p))
5781 # { net_decl_assign_t*tmp = new net_decl_assign_t;
5782 # tmp->next = tmp;
5783 # tmp->name = lex_strings.make($1);
5784 # tmp->expr = $3;
5785 # delete[]$1;
5786 # $$ = tmp;
5787 # }
5788 ()
5789 def p_net_decl_assigns_1(p):
5790 '''net_decl_assigns : net_decl_assigns ',' net_decl_assign '''
5791 print('net_decl_assigns', list(p))
5792 # { net_decl_assign_t*tmp = $1;
5793 # $3->next = tmp->next;
5794 # tmp->next = $3;
5795 # $$ = tmp;
5796 # }
5797 ()
5798 def p_net_decl_assigns_2(p):
5799 '''net_decl_assigns : net_decl_assign '''
5800 print('net_decl_assigns', list(p))
5801 # { $$ = $1;
5802 # }
5803 ()
5804 def p_bit_logic_1(p):
5805 '''bit_logic : K_logic '''
5806 print('bit_logic', list(p))
5807 # { $$ = IVL_VT_LOGIC; }
5808 ()
5809 def p_bit_logic_2(p):
5810 '''bit_logic : K_bool '''
5811 print('bit_logic', list(p))
5812 # { $$ = IVL_VT_BOOL; /* Icarus misc */}
5813 ()
5814 def p_bit_logic_3(p):
5815 '''bit_logic : K_bit '''
5816 print('bit_logic', list(p))
5817 # { $$ = IVL_VT_BOOL; /* IEEE1800 / IEEE1364-2009 */}
5818 ()
5819 def p_bit_logic_opt_1(p):
5820 '''bit_logic_opt : bit_logic '''
5821 print('bit_logic_opt', list(p))
5822 ()
5823 def p_bit_logic_opt_2(p):
5824 '''bit_logic_opt : '''
5825 print('bit_logic_opt', list(p))
5826 # { $$ = IVL_VT_NO_TYPE; }
5827 ()
5828 def p_net_type_1(p):
5829 '''net_type : K_wire '''
5830 print('net_type', list(p))
5831 # { $$ = NetNet::WIRE; }
5832 ()
5833 def p_net_type_2(p):
5834 '''net_type : K_tri '''
5835 print('net_type', list(p))
5836 # { $$ = NetNet::TRI; }
5837 ()
5838 def p_net_type_3(p):
5839 '''net_type : K_tri1 '''
5840 print('net_type', list(p))
5841 # { $$ = NetNet::TRI1; }
5842 ()
5843 def p_net_type_4(p):
5844 '''net_type : K_supply0 '''
5845 print('net_type', list(p))
5846 # { $$ = NetNet::SUPPLY0; }
5847 ()
5848 def p_net_type_5(p):
5849 '''net_type : K_wand '''
5850 print('net_type', list(p))
5851 # { $$ = NetNet::WAND; }
5852 ()
5853 def p_net_type_6(p):
5854 '''net_type : K_triand '''
5855 print('net_type', list(p))
5856 # { $$ = NetNet::TRIAND; }
5857 ()
5858 def p_net_type_7(p):
5859 '''net_type : K_tri0 '''
5860 print('net_type', list(p))
5861 # { $$ = NetNet::TRI0; }
5862 ()
5863 def p_net_type_8(p):
5864 '''net_type : K_supply1 '''
5865 print('net_type', list(p))
5866 # { $$ = NetNet::SUPPLY1; }
5867 ()
5868 def p_net_type_9(p):
5869 '''net_type : K_wor '''
5870 print('net_type', list(p))
5871 # { $$ = NetNet::WOR; }
5872 ()
5873 def p_net_type_10(p):
5874 '''net_type : K_trior '''
5875 print('net_type', list(p))
5876 # { $$ = NetNet::TRIOR; }
5877 ()
5878 def p_net_type_11(p):
5879 '''net_type : K_wone '''
5880 print('net_type', list(p))
5881 # { $$ = NetNet::UNRESOLVED_WIRE;
5882 # cerr << @1.text << ":" << @1.first_line << ": warning: "
5883 # "'wone' is deprecated, please use 'uwire' "
5884 # "instead." << endl;
5885 # }
5886 ()
5887 def p_net_type_12(p):
5888 '''net_type : K_uwire '''
5889 print('net_type', list(p))
5890 # { $$ = NetNet::UNRESOLVED_WIRE; }
5891 ()
5892 def p_param_type_1(p):
5893 '''param_type : bit_logic_opt unsigned_signed_opt dimensions_opt '''
5894 print('param_type', list(p))
5895 # { param_active_range = $3;
5896 # param_active_signed = $2;
5897 # if (($1 == IVL_VT_NO_TYPE) && ($3 != 0))
5898 # param_active_type = IVL_VT_LOGIC;
5899 # else
5900 # param_active_type = $1;
5901 # }
5902 ()
5903 def p_param_type_2(p):
5904 '''param_type : K_integer '''
5905 print('param_type', list(p))
5906 # { param_active_range = make_range_from_width(integer_width);
5907 # param_active_signed = true;
5908 # param_active_type = IVL_VT_LOGIC;
5909 # }
5910 ()
5911 def p_param_type_3(p):
5912 '''param_type : K_time '''
5913 print('param_type', list(p))
5914 # { param_active_range = make_range_from_width(64);
5915 # param_active_signed = false;
5916 # param_active_type = IVL_VT_LOGIC;
5917 # }
5918 ()
5919 def p_param_type_4(p):
5920 '''param_type : real_or_realtime '''
5921 print('param_type', list(p))
5922 # { param_active_range = 0;
5923 # param_active_signed = true;
5924 # param_active_type = IVL_VT_REAL;
5925 # }
5926 ()
5927 def p_param_type_5(p):
5928 '''param_type : atom2_type '''
5929 print('param_type', list(p))
5930 # { param_active_range = make_range_from_width($1);
5931 # param_active_signed = true;
5932 # param_active_type = IVL_VT_BOOL;
5933 # }
5934 ()
5935 def p_param_type_6(p):
5936 '''param_type : TYPE_IDENTIFIER '''
5937 print('param_type', list(p))
5938 # { pform_set_param_from_type(@1, $1.type, $1.text, param_active_range,
5939 # param_active_signed, param_active_type);
5940 # delete[]$1.text;
5941 # }
5942 ()
5943 def p_parameter_assign_list_1(p):
5944 '''parameter_assign_list : parameter_assign '''
5945 print('parameter_assign_list', list(p))
5946 ()
5947 def p_parameter_assign_list_2(p):
5948 '''parameter_assign_list : parameter_assign_list ',' parameter_assign '''
5949 print('parameter_assign_list', list(p))
5950 ()
5951 def p_localparam_assign_list_1(p):
5952 '''localparam_assign_list : localparam_assign '''
5953 print('localparam_assign_list', list(p))
5954 ()
5955 def p_localparam_assign_list_2(p):
5956 '''localparam_assign_list : localparam_assign_list ',' localparam_assign '''
5957 print('localparam_assign_list', list(p))
5958 ()
5959 def p_parameter_assign_1(p):
5960 '''parameter_assign : IDENTIFIER '=' expression parameter_value_ranges_opt '''
5961 print('parameter_assign', list(p))
5962 # { PExpr*tmp = $3;
5963 # pform_set_parameter(@1, lex_strings.make($1), param_active_type,
5964 # param_active_signed, param_active_range, tmp, $4);
5965 # delete[]$1;
5966 # }
5967 ()
5968 def p_localparam_assign_1(p):
5969 '''localparam_assign : IDENTIFIER '=' expression '''
5970 print('localparam_assign', list(p))
5971 # { PExpr*tmp = $3;
5972 # pform_set_localparam(@1, lex_strings.make($1), param_active_type,
5973 # param_active_signed, param_active_range, tmp);
5974 # delete[]$1;
5975 # }
5976 ()
5977 def p_parameter_value_ranges_opt_1(p):
5978 '''parameter_value_ranges_opt : parameter_value_ranges '''
5979 print('parameter_value_ranges_opt', list(p))
5980 # { $$ = $1; }
5981 ()
5982 def p_parameter_value_ranges_opt_2(p):
5983 '''parameter_value_ranges_opt : '''
5984 print('parameter_value_ranges_opt', list(p))
5985 # { $$ = 0; }
5986 ()
5987 def p_parameter_value_ranges_1(p):
5988 '''parameter_value_ranges : parameter_value_ranges parameter_value_range '''
5989 print('parameter_value_ranges', list(p))
5990 # { $$ = $2; $$->next = $1; }
5991 ()
5992 def p_parameter_value_ranges_2(p):
5993 '''parameter_value_ranges : parameter_value_range '''
5994 print('parameter_value_ranges', list(p))
5995 # { $$ = $1; $$->next = 0; }
5996 ()
5997 def p_parameter_value_range_1(p):
5998 '''parameter_value_range : from_exclude '[' value_range_expression ':' value_range_expression ']' '''
5999 print('parameter_value_range', list(p))
6000 # { $$ = pform_parameter_value_range($1, false, $3, false, $5); }
6001 ()
6002 def p_parameter_value_range_2(p):
6003 '''parameter_value_range : from_exclude '[' value_range_expression ':' value_range_expression ')' '''
6004 print('parameter_value_range', list(p))
6005 # { $$ = pform_parameter_value_range($1, false, $3, true, $5); }
6006 ()
6007 def p_parameter_value_range_3(p):
6008 '''parameter_value_range : from_exclude '(' value_range_expression ':' value_range_expression ']' '''
6009 print('parameter_value_range', list(p))
6010 # { $$ = pform_parameter_value_range($1, true, $3, false, $5); }
6011 ()
6012 def p_parameter_value_range_4(p):
6013 '''parameter_value_range : from_exclude '(' value_range_expression ':' value_range_expression ')' '''
6014 print('parameter_value_range', list(p))
6015 # { $$ = pform_parameter_value_range($1, true, $3, true, $5); }
6016 ()
6017 def p_parameter_value_range_5(p):
6018 '''parameter_value_range : K_exclude expression '''
6019 print('parameter_value_range', list(p))
6020 # { $$ = pform_parameter_value_range(true, false, $2, false, $2); }
6021 ()
6022 def p_value_range_expression_1(p):
6023 '''value_range_expression : expression '''
6024 print('value_range_expression', list(p))
6025 # { $$ = $1; }
6026 ()
6027 def p_value_range_expression_2(p):
6028 '''value_range_expression : K_inf '''
6029 print('value_range_expression', list(p))
6030 # { $$ = 0; }
6031 ()
6032 def p_value_range_expression_3(p):
6033 '''value_range_expression : '+' K_inf '''
6034 print('value_range_expression', list(p))
6035 # { $$ = 0; }
6036 ()
6037 def p_value_range_expression_4(p):
6038 '''value_range_expression : '-' K_inf '''
6039 print('value_range_expression', list(p))
6040 # { $$ = 0; }
6041 ()
6042 def p_from_exclude_1(p):
6043 '''from_exclude : K_from '''
6044 print('from_exclude', list(p))
6045 # { $$ = false; }
6046 ()
6047 def p_from_exclude_2(p):
6048 '''from_exclude : K_exclude '''
6049 print('from_exclude', list(p))
6050 # { $$ = true; }
6051 ()
6052 def p_parameter_value_opt_1(p):
6053 '''parameter_value_opt : '#' '(' expression_list_with_nuls ')' '''
6054 print('parameter_value_opt', list(p))
6055 # { struct parmvalue_t*tmp = new struct parmvalue_t;
6056 # tmp->by_order = $3;
6057 # tmp->by_name = 0;
6058 # $$ = tmp;
6059 # }
6060 ()
6061 def p_parameter_value_opt_2(p):
6062 '''parameter_value_opt : '#' '(' parameter_value_byname_list ')' '''
6063 print('parameter_value_opt', list(p))
6064 # { struct parmvalue_t*tmp = new struct parmvalue_t;
6065 # tmp->by_order = 0;
6066 # tmp->by_name = $3;
6067 # $$ = tmp;
6068 # }
6069 ()
6070 def p_parameter_value_opt_3(p):
6071 '''parameter_value_opt : '#' DEC_NUMBER '''
6072 print('parameter_value_opt', list(p))
6073 # { assert($2);
6074 # PENumber*tmp = new PENumber($2);
6075 # FILE_NAME(tmp, @1);
6076 #
6077 # struct parmvalue_t*lst = new struct parmvalue_t;
6078 # lst->by_order = new list<PExpr*>;
6079 # lst->by_order->push_back(tmp);
6080 # lst->by_name = 0;
6081 # $$ = lst;
6082 # based_size = 0;
6083 # }
6084 ()
6085 def p_parameter_value_opt_4(p):
6086 '''parameter_value_opt : '#' REALTIME '''
6087 print('parameter_value_opt', list(p))
6088 # { assert($2);
6089 # PEFNumber*tmp = new PEFNumber($2);
6090 # FILE_NAME(tmp, @1);
6091 #
6092 # struct parmvalue_t*lst = new struct parmvalue_t;
6093 # lst->by_order = new list<PExpr*>;
6094 # lst->by_order->push_back(tmp);
6095 # lst->by_name = 0;
6096 # $$ = lst;
6097 # }
6098 ()
6099 def p_parameter_value_opt_5(p):
6100 '''parameter_value_opt : '#' error '''
6101 print('parameter_value_opt', list(p))
6102 # { yyerror(@1, "error: syntax error in parameter value "
6103 # "assignment list.");
6104 # $$ = 0;
6105 # }
6106 ()
6107 def p_parameter_value_opt_6(p):
6108 '''parameter_value_opt : '''
6109 print('parameter_value_opt', list(p))
6110 # { $$ = 0; }
6111 ()
6112 def p_parameter_value_byname_1(p):
6113 '''parameter_value_byname : '.' IDENTIFIER '(' expression ')' '''
6114 print('parameter_value_byname', list(p))
6115 # { named_pexpr_t*tmp = new named_pexpr_t;
6116 # tmp->name = lex_strings.make($2);
6117 # tmp->parm = $4;
6118 # delete[]$2;
6119 # $$ = tmp;
6120 # }
6121 ()
6122 def p_parameter_value_byname_2(p):
6123 '''parameter_value_byname : '.' IDENTIFIER '(' ')' '''
6124 print('parameter_value_byname', list(p))
6125 # { named_pexpr_t*tmp = new named_pexpr_t;
6126 # tmp->name = lex_strings.make($2);
6127 # tmp->parm = 0;
6128 # delete[]$2;
6129 # $$ = tmp;
6130 # }
6131 ()
6132 def p_parameter_value_byname_list_1(p):
6133 '''parameter_value_byname_list : parameter_value_byname '''
6134 print('parameter_value_byname_list', list(p))
6135 # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
6136 # tmp->push_back(*$1);
6137 # delete $1;
6138 # $$ = tmp;
6139 # }
6140 ()
6141 def p_parameter_value_byname_list_2(p):
6142 '''parameter_value_byname_list : parameter_value_byname_list ',' parameter_value_byname '''
6143 print('parameter_value_byname_list', list(p))
6144 # { list<named_pexpr_t>*tmp = $1;
6145 # tmp->push_back(*$3);
6146 # delete $3;
6147 # $$ = tmp;
6148 # }
6149 ()
6150 def p_port_1(p):
6151 '''port : port_reference '''
6152 print('port', list(p))
6153 # { $$ = $1; }
6154 ()
6155 def p_port_2(p):
6156 '''port : '.' IDENTIFIER '(' port_reference ')' '''
6157 print('port', list(p))
6158 # { Module::port_t*tmp = $4;
6159 # tmp->name = lex_strings.make($2);
6160 # delete[]$2;
6161 # $$ = tmp;
6162 # }
6163 ()
6164 def p_port_3(p):
6165 '''port : '{' port_reference_list '}' '''
6166 print('port', list(p))
6167 # { Module::port_t*tmp = $2;
6168 # tmp->name = perm_string();
6169 # $$ = tmp;
6170 # }
6171 ()
6172 def p_port_4(p):
6173 '''port : '.' IDENTIFIER '(' '{' port_reference_list '}' ')' '''
6174 print('port', list(p))
6175 # { Module::port_t*tmp = $5;
6176 # tmp->name = lex_strings.make($2);
6177 # delete[]$2;
6178 # $$ = tmp;
6179 # }
6180 ()
6181 def p_port_opt_1(p):
6182 '''port_opt : port '''
6183 print('port_opt', list(p))
6184 # { $$ = $1; }
6185 ()
6186 def p_port_opt_2(p):
6187 '''port_opt : '''
6188 print('port_opt', list(p))
6189 # { $$ = 0; }
6190 ()
6191 def p_port_name_1(p):
6192 '''port_name : '.' IDENTIFIER '(' expression ')' '''
6193 print('port_name', list(p))
6194 # { named_pexpr_t*tmp = new named_pexpr_t;
6195 # tmp->name = lex_strings.make($2);
6196 # tmp->parm = $4;
6197 # delete[]$2;
6198 # $$ = tmp;
6199 # }
6200 ()
6201 def p_port_name_2(p):
6202 '''port_name : '.' IDENTIFIER '(' error ')' '''
6203 print('port_name', list(p))
6204 # { yyerror(@3, "error: invalid port connection expression.");
6205 # named_pexpr_t*tmp = new named_pexpr_t;
6206 # tmp->name = lex_strings.make($2);
6207 # tmp->parm = 0;
6208 # delete[]$2;
6209 # $$ = tmp;
6210 # }
6211 ()
6212 def p_port_name_3(p):
6213 '''port_name : '.' IDENTIFIER '(' ')' '''
6214 print('port_name', list(p))
6215 # { named_pexpr_t*tmp = new named_pexpr_t;
6216 # tmp->name = lex_strings.make($2);
6217 # tmp->parm = 0;
6218 # delete[]$2;
6219 # $$ = tmp;
6220 # }
6221 ()
6222 def p_port_name_4(p):
6223 '''port_name : '.' IDENTIFIER '''
6224 print('port_name', list(p))
6225 # { named_pexpr_t*tmp = new named_pexpr_t;
6226 # tmp->name = lex_strings.make($2);
6227 # tmp->parm = new PEIdent(lex_strings.make($2), true);
6228 # FILE_NAME(tmp->parm, @1);
6229 # delete[]$2;
6230 # $$ = tmp;
6231 # }
6232 ()
6233 def p_port_name_5(p):
6234 '''port_name : K_DOTSTAR '''
6235 print('port_name', list(p))
6236 # { named_pexpr_t*tmp = new named_pexpr_t;
6237 # tmp->name = lex_strings.make("*");
6238 # tmp->parm = 0;
6239 # $$ = tmp;
6240 # }
6241 ()
6242 def p_port_name_list_1(p):
6243 '''port_name_list : port_name_list ',' port_name '''
6244 print('port_name_list', list(p))
6245 # { list<named_pexpr_t>*tmp = $1;
6246 # tmp->push_back(*$3);
6247 # delete $3;
6248 # $$ = tmp;
6249 # }
6250 ()
6251 def p_port_name_list_2(p):
6252 '''port_name_list : port_name '''
6253 print('port_name_list', list(p))
6254 # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
6255 # tmp->push_back(*$1);
6256 # delete $1;
6257 # $$ = tmp;
6258 # }
6259 ()
6260 def p_port_reference_1(p):
6261 '''port_reference : IDENTIFIER '''
6262 print('port_reference', list(p))
6263 # { Module::port_t*ptmp;
6264 # perm_string name = lex_strings.make($1);
6265 # ptmp = pform_module_port_reference(name, @1.text, @1.first_line);
6266 # delete[]$1;
6267 # $$ = ptmp;
6268 # }
6269 ()
6270 def p_port_reference_2(p):
6271 '''port_reference : IDENTIFIER '[' expression ':' expression ']' '''
6272 print('port_reference', list(p))
6273 # { index_component_t itmp;
6274 # itmp.sel = index_component_t::SEL_PART;
6275 # itmp.msb = $3;
6276 # itmp.lsb = $5;
6277 #
6278 # name_component_t ntmp (lex_strings.make($1));
6279 # ntmp.index.push_back(itmp);
6280 #
6281 # pform_name_t pname;
6282 # pname.push_back(ntmp);
6283 #
6284 # PEIdent*wtmp = new PEIdent(pname);
6285 # FILE_NAME(wtmp, @1);
6286 #
6287 # Module::port_t*ptmp = new Module::port_t;
6288 # ptmp->name = perm_string();
6289 # ptmp->expr.push_back(wtmp);
6290 #
6291 # delete[]$1;
6292 # $$ = ptmp;
6293 # }
6294 ()
6295 def p_port_reference_3(p):
6296 '''port_reference : IDENTIFIER '[' expression ']' '''
6297 print('port_reference', list(p))
6298 # { index_component_t itmp;
6299 # itmp.sel = index_component_t::SEL_BIT;
6300 # itmp.msb = $3;
6301 # itmp.lsb = 0;
6302 #
6303 # name_component_t ntmp (lex_strings.make($1));
6304 # ntmp.index.push_back(itmp);
6305 #
6306 # pform_name_t pname;
6307 # pname.push_back(ntmp);
6308 #
6309 # PEIdent*tmp = new PEIdent(pname);
6310 # FILE_NAME(tmp, @1);
6311 #
6312 # Module::port_t*ptmp = new Module::port_t;
6313 # ptmp->name = perm_string();
6314 # ptmp->expr.push_back(tmp);
6315 # delete[]$1;
6316 # $$ = ptmp;
6317 # }
6318 ()
6319 def p_port_reference_4(p):
6320 '''port_reference : IDENTIFIER '[' error ']' '''
6321 print('port_reference', list(p))
6322 # { yyerror(@1, "error: invalid port bit select");
6323 # Module::port_t*ptmp = new Module::port_t;
6324 # PEIdent*wtmp = new PEIdent(lex_strings.make($1));
6325 # FILE_NAME(wtmp, @1);
6326 # ptmp->name = lex_strings.make($1);
6327 # ptmp->expr.push_back(wtmp);
6328 # delete[]$1;
6329 # $$ = ptmp;
6330 # }
6331 ()
6332 def p_port_reference_list_1(p):
6333 '''port_reference_list : port_reference '''
6334 print('port_reference_list', list(p))
6335 # { $$ = $1; }
6336 ()
6337 def p_port_reference_list_2(p):
6338 '''port_reference_list : port_reference_list ',' port_reference '''
6339 print('port_reference_list', list(p))
6340 # { Module::port_t*tmp = $1;
6341 # append(tmp->expr, $3->expr);
6342 # delete $3;
6343 # $$ = tmp;
6344 # }
6345 ()
6346 def p_dimensions_opt_1(p):
6347 '''dimensions_opt : '''
6348 print('dimensions_opt', list(p))
6349 # { $$ = 0; }
6350 ()
6351 def p_dimensions_opt_2(p):
6352 '''dimensions_opt : dimensions '''
6353 print('dimensions_opt', list(p))
6354 # { $$ = $1; }
6355 ()
6356 def p_dimensions_1(p):
6357 '''dimensions : variable_dimension '''
6358 print('dimensions', list(p))
6359 # { $$ = $1; }
6360 ()
6361 def p_dimensions_2(p):
6362 '''dimensions : dimensions variable_dimension '''
6363 print('dimensions', list(p))
6364 # { list<pform_range_t> *tmp = $1;
6365 # if ($2) {
6366 # tmp->splice(tmp->end(), *$2);
6367 # delete $2;
6368 # }
6369 # $$ = tmp;
6370 # }
6371 ()
6372 def p_register_variable_1(p):
6373 '''register_variable : IDENTIFIER dimensions_opt '''
6374 print('register_variable', list(p))
6375 # { perm_string name = lex_strings.make($1);
6376 # pform_makewire(@1, name, NetNet::REG,
6377 # NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0);
6378 # pform_set_reg_idx(name, $2);
6379 # $$ = $1;
6380 # }
6381 ()
6382 def p_register_variable_2(p):
6383 '''register_variable : IDENTIFIER dimensions_opt '=' expression '''
6384 print('register_variable', list(p))
6385 # { if (pform_peek_scope()->var_init_needs_explicit_lifetime()
6386 # && (var_lifetime == LexicalScope::INHERITED)) {
6387 # cerr << @3 << ": warning: Static variable initialization requires "
6388 # "explicit lifetime in this context." << endl;
6389 # warn_count += 1;
6390 # }
6391 # perm_string name = lex_strings.make($1);
6392 # pform_makewire(@1, name, NetNet::REG,
6393 # NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0);
6394 # pform_set_reg_idx(name, $2);
6395 # pform_make_var_init(@1, name, $4);
6396 # $$ = $1;
6397 # }
6398 ()
6399 def p_register_variable_list_1(p):
6400 '''register_variable_list : register_variable '''
6401 print('register_variable_list', list(p))
6402 # { list<perm_string>*tmp = new list<perm_string>;
6403 # tmp->push_back(lex_strings.make($1));
6404 # $$ = tmp;
6405 # delete[]$1;
6406 # }
6407 ()
6408 def p_register_variable_list_2(p):
6409 '''register_variable_list : register_variable_list ',' register_variable '''
6410 print('register_variable_list', list(p))
6411 # { list<perm_string>*tmp = $1;
6412 # tmp->push_back(lex_strings.make($3));
6413 # $$ = tmp;
6414 # delete[]$3;
6415 # }
6416 ()
6417 def p_net_variable_1(p):
6418 '''net_variable : IDENTIFIER dimensions_opt '''
6419 print('net_variable', list(p))
6420 # { perm_string name = lex_strings.make($1);
6421 # pform_makewire(@1, name, NetNet::IMPLICIT,
6422 # NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0);
6423 # pform_set_reg_idx(name, $2);
6424 # $$ = $1;
6425 # }
6426 ()
6427 def p_net_variable_list_1(p):
6428 '''net_variable_list : net_variable '''
6429 print('net_variable_list', list(p))
6430 # { list<perm_string>*tmp = new list<perm_string>;
6431 # tmp->push_back(lex_strings.make($1));
6432 # $$ = tmp;
6433 # delete[]$1;
6434 # }
6435 ()
6436 def p_net_variable_list_2(p):
6437 '''net_variable_list : net_variable_list ',' net_variable '''
6438 print('net_variable_list', list(p))
6439 # { list<perm_string>*tmp = $1;
6440 # tmp->push_back(lex_strings.make($3));
6441 # $$ = tmp;
6442 # delete[]$3;
6443 # }
6444 ()
6445 def p_event_variable_1(p):
6446 '''event_variable : IDENTIFIER dimensions_opt '''
6447 print('event_variable', list(p))
6448 # { if ($2) {
6449 # yyerror(@2, "sorry: event arrays are not supported.");
6450 # delete $2;
6451 # }
6452 # $$ = $1;
6453 # }
6454 ()
6455 def p_event_variable_list_1(p):
6456 '''event_variable_list : event_variable '''
6457 print('event_variable_list', list(p))
6458 # { $$ = list_from_identifier($1); }
6459 ()
6460 def p_event_variable_list_2(p):
6461 '''event_variable_list : event_variable_list ',' event_variable '''
6462 print('event_variable_list', list(p))
6463 # { $$ = list_from_identifier($1, $3); }
6464 ()
6465 def p_specify_item_1(p):
6466 '''specify_item : K_specparam specparam_decl ';' '''
6467 print('specify_item', list(p))
6468 ()
6469 def p_specify_item_2(p):
6470 '''specify_item : specify_simple_path_decl ';' '''
6471 print('specify_item', list(p))
6472 # { pform_module_specify_path($1);
6473 # }
6474 ()
6475 def p_specify_item_3(p):
6476 '''specify_item : specify_edge_path_decl ';' '''
6477 print('specify_item', list(p))
6478 # { pform_module_specify_path($1);
6479 # }
6480 ()
6481 def p_specify_item_4(p):
6482 '''specify_item : K_if '(' expression ')' specify_simple_path_decl ';' '''
6483 print('specify_item', list(p))
6484 # { PSpecPath*tmp = $5;
6485 # if (tmp) {
6486 # tmp->conditional = true;
6487 # tmp->condition = $3;
6488 # }
6489 # pform_module_specify_path(tmp);
6490 # }
6491 ()
6492 def p_specify_item_5(p):
6493 '''specify_item : K_if '(' expression ')' specify_edge_path_decl ';' '''
6494 print('specify_item', list(p))
6495 # { PSpecPath*tmp = $5;
6496 # if (tmp) {
6497 # tmp->conditional = true;
6498 # tmp->condition = $3;
6499 # }
6500 # pform_module_specify_path(tmp);
6501 # }
6502 ()
6503 def p_specify_item_6(p):
6504 '''specify_item : K_ifnone specify_simple_path_decl ';' '''
6505 print('specify_item', list(p))
6506 # { PSpecPath*tmp = $2;
6507 # if (tmp) {
6508 # tmp->conditional = true;
6509 # tmp->condition = 0;
6510 # }
6511 # pform_module_specify_path(tmp);
6512 # }
6513 ()
6514 def p_specify_item_7(p):
6515 '''specify_item : K_ifnone specify_edge_path_decl ';' '''
6516 print('specify_item', list(p))
6517 # { yyerror(@1, "Sorry: ifnone with an edge-sensitive path is "
6518 # "not supported.");
6519 # yyerrok;
6520 # }
6521 ()
6522 def p_specify_item_8(p):
6523 '''specify_item : K_Sfullskew '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' '''
6524 print('specify_item', list(p))
6525 # { delete $7;
6526 # delete $9;
6527 # }
6528 ()
6529 def p_specify_item_9(p):
6530 '''specify_item : K_Shold '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' '''
6531 print('specify_item', list(p))
6532 # { delete $7;
6533 # }
6534 ()
6535 def p_specify_item_10(p):
6536 '''specify_item : K_Snochange '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' '''
6537 print('specify_item', list(p))
6538 # { delete $7;
6539 # delete $9;
6540 # }
6541 ()
6542 def p_specify_item_11(p):
6543 '''specify_item : K_Speriod '(' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' '''
6544 print('specify_item', list(p))
6545 # { delete $5;
6546 # }
6547 ()
6548 def p_specify_item_12(p):
6549 '''specify_item : K_Srecovery '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' '''
6550 print('specify_item', list(p))
6551 # { delete $7;
6552 # }
6553 ()
6554 def p_specify_item_13(p):
6555 '''specify_item : K_Srecrem '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' '''
6556 print('specify_item', list(p))
6557 # { delete $7;
6558 # delete $9;
6559 # }
6560 ()
6561 def p_specify_item_14(p):
6562 '''specify_item : K_Sremoval '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' '''
6563 print('specify_item', list(p))
6564 # { delete $7;
6565 # }
6566 ()
6567 def p_specify_item_15(p):
6568 '''specify_item : K_Ssetup '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' '''
6569 print('specify_item', list(p))
6570 # { delete $7;
6571 # }
6572 ()
6573 def p_specify_item_16(p):
6574 '''specify_item : K_Ssetuphold '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' '''
6575 print('specify_item', list(p))
6576 # { delete $7;
6577 # delete $9;
6578 # }
6579 ()
6580 def p_specify_item_17(p):
6581 '''specify_item : K_Sskew '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' '''
6582 print('specify_item', list(p))
6583 # { delete $7;
6584 # }
6585 ()
6586 def p_specify_item_18(p):
6587 '''specify_item : K_Stimeskew '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' '''
6588 print('specify_item', list(p))
6589 # { delete $7;
6590 # }
6591 ()
6592 def p_specify_item_19(p):
6593 '''specify_item : K_Swidth '(' spec_reference_event ',' delay_value ',' expression spec_notifier_opt ')' ';' '''
6594 print('specify_item', list(p))
6595 # { delete $5;
6596 # delete $7;
6597 # }
6598 ()
6599 def p_specify_item_20(p):
6600 '''specify_item : K_Swidth '(' spec_reference_event ',' delay_value ')' ';' '''
6601 print('specify_item', list(p))
6602 # { delete $5;
6603 # }
6604 ()
6605 def p_specify_item_21(p):
6606 '''specify_item : K_pulsestyle_onevent specify_path_identifiers ';' '''
6607 print('specify_item', list(p))
6608 # { delete $2;
6609 # }
6610 ()
6611 def p_specify_item_22(p):
6612 '''specify_item : K_pulsestyle_ondetect specify_path_identifiers ';' '''
6613 print('specify_item', list(p))
6614 # { delete $2;
6615 # }
6616 ()
6617 def p_specify_item_23(p):
6618 '''specify_item : K_showcancelled specify_path_identifiers ';' '''
6619 print('specify_item', list(p))
6620 # { delete $2;
6621 # }
6622 ()
6623 def p_specify_item_24(p):
6624 '''specify_item : K_noshowcancelled specify_path_identifiers ';' '''
6625 print('specify_item', list(p))
6626 # { delete $2;
6627 # }
6628 ()
6629 def p_specify_item_list_1(p):
6630 '''specify_item_list : specify_item '''
6631 print('specify_item_list', list(p))
6632 ()
6633 def p_specify_item_list_2(p):
6634 '''specify_item_list : specify_item_list specify_item '''
6635 print('specify_item_list', list(p))
6636 ()
6637 def p_specify_item_list_opt_1(p):
6638 '''specify_item_list_opt : '''
6639 print('specify_item_list_opt', list(p))
6640 # { }
6641 ()
6642 def p_specify_item_list_opt_2(p):
6643 '''specify_item_list_opt : specify_item_list '''
6644 print('specify_item_list_opt', list(p))
6645 # { }
6646 ()
6647 def p_specify_edge_path_decl_1(p):
6648 '''specify_edge_path_decl : specify_edge_path '=' '(' delay_value_list ')' '''
6649 print('specify_edge_path_decl', list(p))
6650 # { $$ = pform_assign_path_delay($1, $4); }
6651 ()
6652 def p_specify_edge_path_decl_2(p):
6653 '''specify_edge_path_decl : specify_edge_path '=' delay_value_simple '''
6654 print('specify_edge_path_decl', list(p))
6655 # { list<PExpr*>*tmp = new list<PExpr*>;
6656 # tmp->push_back($3);
6657 # $$ = pform_assign_path_delay($1, tmp);
6658 # }
6659 ()
6660 def p_edge_operator_1(p):
6661 '''edge_operator : K_posedge '''
6662 print('edge_operator', list(p))
6663 # { $$ = true; }
6664 ()
6665 def p_edge_operator_2(p):
6666 '''edge_operator : K_negedge '''
6667 print('edge_operator', list(p))
6668 # { $$ = false; }
6669 ()
6670 def p_specify_edge_path_1(p):
6671 '''specify_edge_path : '(' specify_path_identifiers spec_polarity K_EG '(' specify_path_identifiers polarity_operator expression ')' ')' '''
6672 print('specify_edge_path', list(p))
6673 # { int edge_flag = 0;
6674 # $$ = pform_make_specify_edge_path(@1, edge_flag, $2, $3, false, $6, $8); }
6675 ()
6676 def p_specify_edge_path_2(p):
6677 '''specify_edge_path : '(' edge_operator specify_path_identifiers spec_polarity K_EG '(' specify_path_identifiers polarity_operator expression ')' ')' '''
6678 print('specify_edge_path', list(p))
6679 # { int edge_flag = $2? 1 : -1;
6680 # $$ = pform_make_specify_edge_path(@1, edge_flag, $3, $4, false, $7, $9);}
6681 ()
6682 def p_specify_edge_path_3(p):
6683 '''specify_edge_path : '(' specify_path_identifiers spec_polarity K_SG '(' specify_path_identifiers polarity_operator expression ')' ')' '''
6684 print('specify_edge_path', list(p))
6685 # { int edge_flag = 0;
6686 # $$ = pform_make_specify_edge_path(@1, edge_flag, $2, $3, true, $6, $8); }
6687 ()
6688 def p_specify_edge_path_4(p):
6689 '''specify_edge_path : '(' edge_operator specify_path_identifiers spec_polarity K_SG '(' specify_path_identifiers polarity_operator expression ')' ')' '''
6690 print('specify_edge_path', list(p))
6691 # { int edge_flag = $2? 1 : -1;
6692 # $$ = pform_make_specify_edge_path(@1, edge_flag, $3, $4, true, $7, $9); }
6693 ()
6694 def p_polarity_operator_1(p):
6695 '''polarity_operator : K_PO_POS '''
6696 print('polarity_operator', list(p))
6697 ()
6698 def p_polarity_operator_2(p):
6699 '''polarity_operator : K_PO_NEG '''
6700 print('polarity_operator', list(p))
6701 ()
6702 def p_polarity_operator_3(p):
6703 '''polarity_operator : ':' '''
6704 print('polarity_operator', list(p))
6705 ()
6706 def p_specify_simple_path_decl_1(p):
6707 '''specify_simple_path_decl : specify_simple_path '=' '(' delay_value_list ')' '''
6708 print('specify_simple_path_decl', list(p))
6709 # { $$ = pform_assign_path_delay($1, $4); }
6710 ()
6711 def p_specify_simple_path_decl_2(p):
6712 '''specify_simple_path_decl : specify_simple_path '=' delay_value_simple '''
6713 print('specify_simple_path_decl', list(p))
6714 # { list<PExpr*>*tmp = new list<PExpr*>;
6715 # tmp->push_back($3);
6716 # $$ = pform_assign_path_delay($1, tmp);
6717 # }
6718 ()
6719 def p_specify_simple_path_decl_3(p):
6720 '''specify_simple_path_decl : specify_simple_path '=' '(' error ')' '''
6721 print('specify_simple_path_decl', list(p))
6722 # { yyerror(@3, "Syntax error in delay value list.");
6723 # yyerrok;
6724 # $$ = 0;
6725 # }
6726 ()
6727 def p_specify_simple_path_1(p):
6728 '''specify_simple_path : '(' specify_path_identifiers spec_polarity K_EG specify_path_identifiers ')' '''
6729 print('specify_simple_path', list(p))
6730 # { $$ = pform_make_specify_path(@1, $2, $3, false, $5); }
6731 ()
6732 def p_specify_simple_path_2(p):
6733 '''specify_simple_path : '(' specify_path_identifiers spec_polarity K_SG specify_path_identifiers ')' '''
6734 print('specify_simple_path', list(p))
6735 # { $$ = pform_make_specify_path(@1, $2, $3, true, $5); }
6736 ()
6737 def p_specify_simple_path_3(p):
6738 '''specify_simple_path : '(' error ')' '''
6739 print('specify_simple_path', list(p))
6740 # { yyerror(@1, "Invalid simple path");
6741 # yyerrok;
6742 # }
6743 ()
6744 def p_specify_path_identifiers_1(p):
6745 '''specify_path_identifiers : IDENTIFIER '''
6746 print('specify_path_identifiers', list(p))
6747 # { list<perm_string>*tmp = new list<perm_string>;
6748 # tmp->push_back(lex_strings.make($1));
6749 # $$ = tmp;
6750 # delete[]$1;
6751 # }
6752 ()
6753 def p_specify_path_identifiers_2(p):
6754 '''specify_path_identifiers : IDENTIFIER '[' expr_primary ']' '''
6755 print('specify_path_identifiers', list(p))
6756 # { if (gn_specify_blocks_flag) {
6757 # yywarn(@4, "Bit selects are not currently supported "
6758 # "in path declarations. The declaration "
6759 # "will be applied to the whole vector.");
6760 # }
6761 # list<perm_string>*tmp = new list<perm_string>;
6762 # tmp->push_back(lex_strings.make($1));
6763 # $$ = tmp;
6764 # delete[]$1;
6765 # }
6766 ()
6767 def p_specify_path_identifiers_3(p):
6768 '''specify_path_identifiers : IDENTIFIER '[' expr_primary polarity_operator expr_primary ']' '''
6769 print('specify_path_identifiers', list(p))
6770 # { if (gn_specify_blocks_flag) {
6771 # yywarn(@4, "Part selects are not currently supported "
6772 # "in path declarations. The declaration "
6773 # "will be applied to the whole vector.");
6774 # }
6775 # list<perm_string>*tmp = new list<perm_string>;
6776 # tmp->push_back(lex_strings.make($1));
6777 # $$ = tmp;
6778 # delete[]$1;
6779 # }
6780 ()
6781 def p_specify_path_identifiers_4(p):
6782 '''specify_path_identifiers : specify_path_identifiers ',' IDENTIFIER '''
6783 print('specify_path_identifiers', list(p))
6784 # { list<perm_string>*tmp = $1;
6785 # tmp->push_back(lex_strings.make($3));
6786 # $$ = tmp;
6787 # delete[]$3;
6788 # }
6789 ()
6790 def p_specify_path_identifiers_5(p):
6791 '''specify_path_identifiers : specify_path_identifiers ',' IDENTIFIER '[' expr_primary ']' '''
6792 print('specify_path_identifiers', list(p))
6793 # { if (gn_specify_blocks_flag) {
6794 # yywarn(@4, "Bit selects are not currently supported "
6795 # "in path declarations. The declaration "
6796 # "will be applied to the whole vector.");
6797 # }
6798 # list<perm_string>*tmp = $1;
6799 # tmp->push_back(lex_strings.make($3));
6800 # $$ = tmp;
6801 # delete[]$3;
6802 # }
6803 ()
6804 def p_specify_path_identifiers_6(p):
6805 '''specify_path_identifiers : specify_path_identifiers ',' IDENTIFIER '[' expr_primary polarity_operator expr_primary ']' '''
6806 print('specify_path_identifiers', list(p))
6807 # { if (gn_specify_blocks_flag) {
6808 # yywarn(@4, "Part selects are not currently supported "
6809 # "in path declarations. The declaration "
6810 # "will be applied to the whole vector.");
6811 # }
6812 # list<perm_string>*tmp = $1;
6813 # tmp->push_back(lex_strings.make($3));
6814 # $$ = tmp;
6815 # delete[]$3;
6816 # }
6817 ()
6818 def p_specparam_1(p):
6819 '''specparam : IDENTIFIER '=' expression '''
6820 print('specparam', list(p))
6821 # { PExpr*tmp = $3;
6822 # pform_set_specparam(@1, lex_strings.make($1),
6823 # param_active_range, tmp);
6824 # delete[]$1;
6825 # }
6826 ()
6827 def p_specparam_2(p):
6828 '''specparam : IDENTIFIER '=' expression ':' expression ':' expression '''
6829 print('specparam', list(p))
6830 # { PExpr*tmp = 0;
6831 # switch (min_typ_max_flag) {
6832 # case MIN:
6833 # tmp = $3;
6834 # delete $5;
6835 # delete $7;
6836 # break;
6837 # case TYP:
6838 # delete $3;
6839 # tmp = $5;
6840 # delete $7;
6841 # break;
6842 # case MAX:
6843 # delete $3;
6844 # delete $5;
6845 # tmp = $7;
6846 # break;
6847 # }
6848 # if (min_typ_max_warn > 0) {
6849 # cerr << tmp->get_fileline() << ": warning: choosing ";
6850 # switch (min_typ_max_flag) {
6851 # case MIN:
6852 # cerr << "min";
6853 # break;
6854 # case TYP:
6855 # cerr << "typ";
6856 # break;
6857 # case MAX:
6858 # cerr << "max";
6859 # break;
6860 # }
6861 # cerr << " expression." << endl;
6862 # min_typ_max_warn -= 1;
6863 # }
6864 # pform_set_specparam(@1, lex_strings.make($1),
6865 # param_active_range, tmp);
6866 # delete[]$1;
6867 # }
6868 ()
6869 def p_specparam_3(p):
6870 '''specparam : PATHPULSE_IDENTIFIER '=' expression '''
6871 print('specparam', list(p))
6872 # { delete[]$1;
6873 # delete $3;
6874 # }
6875 ()
6876 def p_specparam_4(p):
6877 '''specparam : PATHPULSE_IDENTIFIER '=' '(' expression ',' expression ')' '''
6878 print('specparam', list(p))
6879 # { delete[]$1;
6880 # delete $4;
6881 # delete $6;
6882 # }
6883 ()
6884 def p_specparam_list_1(p):
6885 '''specparam_list : specparam '''
6886 print('specparam_list', list(p))
6887 ()
6888 def p_specparam_list_2(p):
6889 '''specparam_list : specparam_list ',' specparam '''
6890 print('specparam_list', list(p))
6891 ()
6892 def p_specparam_decl_1(p):
6893 '''specparam_decl : specparam_list '''
6894 print('specparam_decl', list(p))
6895 ()
6896 def p_specparam_decl_2(p):
6897 '''specparam_decl : dimensions _embed0_specparam_decl specparam_list '''
6898 print('specparam_decl', list(p))
6899 # { param_active_range = 0; }
6900 ()
6901 def p__embed0_specparam_decl(p):
6902 '''_embed0_specparam_decl : '''
6903 # { param_active_range = $1; }
6904 ()
6905 def p_spec_polarity_1(p):
6906 '''spec_polarity : '+' '''
6907 print('spec_polarity', list(p))
6908 # { $$ = '+'; }
6909 ()
6910 def p_spec_polarity_2(p):
6911 '''spec_polarity : '-' '''
6912 print('spec_polarity', list(p))
6913 # { $$ = '-'; }
6914 ()
6915 def p_spec_polarity_3(p):
6916 '''spec_polarity : '''
6917 print('spec_polarity', list(p))
6918 # { $$ = 0; }
6919 ()
6920 def p_spec_reference_event_1(p):
6921 '''spec_reference_event : K_posedge expression '''
6922 print('spec_reference_event', list(p))
6923 # { delete $2; }
6924 ()
6925 def p_spec_reference_event_2(p):
6926 '''spec_reference_event : K_negedge expression '''
6927 print('spec_reference_event', list(p))
6928 # { delete $2; }
6929 ()
6930 def p_spec_reference_event_3(p):
6931 '''spec_reference_event : K_posedge expr_primary K_TAND expression '''
6932 print('spec_reference_event', list(p))
6933 # { delete $2;
6934 # delete $4;
6935 # }
6936 ()
6937 def p_spec_reference_event_4(p):
6938 '''spec_reference_event : K_negedge expr_primary K_TAND expression '''
6939 print('spec_reference_event', list(p))
6940 # { delete $2;
6941 # delete $4;
6942 # }
6943 ()
6944 def p_spec_reference_event_5(p):
6945 '''spec_reference_event : K_edge '[' edge_descriptor_list ']' expr_primary '''
6946 print('spec_reference_event', list(p))
6947 # { delete $5; }
6948 ()
6949 def p_spec_reference_event_6(p):
6950 '''spec_reference_event : K_edge '[' edge_descriptor_list ']' expr_primary K_TAND expression '''
6951 print('spec_reference_event', list(p))
6952 # { delete $5;
6953 # delete $7;
6954 # }
6955 ()
6956 def p_spec_reference_event_7(p):
6957 '''spec_reference_event : expr_primary K_TAND expression '''
6958 print('spec_reference_event', list(p))
6959 # { delete $1;
6960 # delete $3;
6961 # }
6962 ()
6963 def p_spec_reference_event_8(p):
6964 '''spec_reference_event : expr_primary '''
6965 print('spec_reference_event', list(p))
6966 # { delete $1; }
6967 ()
6968 def p_edge_descriptor_list_1(p):
6969 '''edge_descriptor_list : edge_descriptor_list ',' K_edge_descriptor '''
6970 print('edge_descriptor_list', list(p))
6971 ()
6972 def p_edge_descriptor_list_2(p):
6973 '''edge_descriptor_list : K_edge_descriptor '''
6974 print('edge_descriptor_list', list(p))
6975 ()
6976 def p_spec_notifier_opt_1(p):
6977 '''spec_notifier_opt : '''
6978 print('spec_notifier_opt', list(p))
6979 # { }
6980 ()
6981 def p_spec_notifier_opt_2(p):
6982 '''spec_notifier_opt : spec_notifier '''
6983 print('spec_notifier_opt', list(p))
6984 # { }
6985 ()
6986 def p_spec_notifier_1(p):
6987 '''spec_notifier : ',' '''
6988 print('spec_notifier', list(p))
6989 # { args_after_notifier = 0; }
6990 ()
6991 def p_spec_notifier_2(p):
6992 '''spec_notifier : ',' hierarchy_identifier '''
6993 print('spec_notifier', list(p))
6994 # { args_after_notifier = 0; delete $2; }
6995 ()
6996 def p_spec_notifier_3(p):
6997 '''spec_notifier : spec_notifier ',' '''
6998 print('spec_notifier', list(p))
6999 # { args_after_notifier += 1; }
7000 ()
7001 def p_spec_notifier_4(p):
7002 '''spec_notifier : spec_notifier ',' hierarchy_identifier '''
7003 print('spec_notifier', list(p))
7004 # { args_after_notifier += 1;
7005 # if (args_after_notifier >= 3) {
7006 # cerr << @3 << ": warning: timing checks are not supported "
7007 # "and delayed signal \"" << *$3
7008 # << "\" will not be driven." << endl;
7009 # }
7010 # delete $3; }
7011 ()
7012 def p_spec_notifier_5(p):
7013 '''spec_notifier : IDENTIFIER '''
7014 print('spec_notifier', list(p))
7015 # { args_after_notifier = 0; delete[]$1; }
7016 ()
7017 def p_statement_item_1(p):
7018 '''statement_item : K_assign lpvalue '=' expression ';' '''
7019 print('statement_item1', list(p))
7020 # { PCAssign*tmp = new PCAssign($2, $4);
7021 # FILE_NAME(tmp, @1);
7022 # $$ = tmp;
7023 # }
7024 ()
7025 def p_statement_item_2(p):
7026 '''statement_item : K_deassign lpvalue ';' '''
7027 print('statement_item2', list(p))
7028 # { PDeassign*tmp = new PDeassign($2);
7029 # FILE_NAME(tmp, @1);
7030 # $$ = tmp;
7031 # }
7032 ()
7033 def p_statement_item_3(p):
7034 '''statement_item : K_force lpvalue '=' expression ';' '''
7035 print('statement_item3', list(p))
7036 # { PForce*tmp = new PForce($2, $4);
7037 # FILE_NAME(tmp, @1);
7038 # $$ = tmp;
7039 # }
7040 ()
7041 def p_statement_item_4(p):
7042 '''statement_item : K_release lpvalue ';' '''
7043 print('statement_item4', list(p))
7044 # { PRelease*tmp = new PRelease($2);
7045 # FILE_NAME(tmp, @1);
7046 # $$ = tmp;
7047 # }
7048 ()
7049 def p_statement_item_5(p):
7050 '''statement_item : K_begin K_end '''
7051 print('statement_item5', list(p))
7052 # { PBlock*tmp = new PBlock(PBlock::BL_SEQ);
7053 # FILE_NAME(tmp, @1);
7054 # $$ = tmp;
7055 # }
7056 ()
7057 def p_statement_item_6(p):
7058 '''statement_item : K_begin _embed0_statement_item block_item_decls_opt _embed1_statement_item statement_or_null_list K_end '''
7059 print('statement_item6', list(p))
7060 # { PBlock*tmp;
7061 # if ($3) {
7062 # pform_pop_scope();
7063 # assert(! current_block_stack.empty());
7064 # tmp = current_block_stack.top();
7065 # current_block_stack.pop();
7066 # } else {
7067 # tmp = new PBlock(PBlock::BL_SEQ);
7068 # FILE_NAME(tmp, @1);
7069 # }
7070 # if ($5) tmp->set_statement(*$5);
7071 # delete $5;
7072 # $$ = tmp;
7073 # }
7074 ()
7075 def p_statement_item_7(p):
7076 '''statement_item : K_begin ':' IDENTIFIER _embed2_statement_item block_item_decls_opt statement_or_null_list_opt K_end endlabel_opt '''
7077 print('statement_item7', list(p))
7078 # { pform_pop_scope();
7079 # assert(! current_block_stack.empty());
7080 # PBlock*tmp = current_block_stack.top();
7081 # current_block_stack.pop();
7082 # if ($6) tmp->set_statement(*$6);
7083 # delete $6;
7084 # if ($8) {
7085 # if (strcmp($3,$8) != 0) {
7086 # yyerror(@8, "error: End label doesn't match begin name");
7087 # }
7088 # if (! gn_system_verilog()) {
7089 # yyerror(@8, "error: Begin end labels require "
7090 # "SystemVerilog.");
7091 # }
7092 # delete[]$8;
7093 # }
7094 # delete[]$3;
7095 # $$ = tmp;
7096 # }
7097 ()
7098 def p_statement_item_8(p):
7099 '''statement_item : K_fork join_keyword '''
7100 print('statement_item7', list(p))
7101 # { PBlock*tmp = new PBlock($2);
7102 # FILE_NAME(tmp, @1);
7103 # $$ = tmp;
7104 # }
7105 ()
7106 def p_statement_item_9(p):
7107 '''statement_item : K_fork _embed3_statement_item block_item_decls_opt _embed4_statement_item statement_or_null_list join_keyword '''
7108 print('statement_item9', list(p))
7109 # { PBlock*tmp;
7110 # if ($3) {
7111 # pform_pop_scope();
7112 # assert(! current_block_stack.empty());
7113 # tmp = current_block_stack.top();
7114 # current_block_stack.pop();
7115 # tmp->set_join_type($6);
7116 # } else {
7117 # tmp = new PBlock($6);
7118 # FILE_NAME(tmp, @1);
7119 # }
7120 # if ($5) tmp->set_statement(*$5);
7121 # delete $5;
7122 # $$ = tmp;
7123 # }
7124 ()
7125 def p_statement_item_10(p):
7126 '''statement_item : K_fork ':' IDENTIFIER _embed5_statement_item block_item_decls_opt statement_or_null_list_opt join_keyword endlabel_opt '''
7127 print('statement_item10', list(p))
7128 # { pform_pop_scope();
7129 # assert(! current_block_stack.empty());
7130 # PBlock*tmp = current_block_stack.top();
7131 # current_block_stack.pop();
7132 # tmp->set_join_type($7);
7133 # if ($6) tmp->set_statement(*$6);
7134 # delete $6;
7135 # if ($8) {
7136 # if (strcmp($3,$8) != 0) {
7137 # yyerror(@8, "error: End label doesn't match fork name");
7138 # }
7139 # if (! gn_system_verilog()) {
7140 # yyerror(@8, "error: Fork end labels require "
7141 # "SystemVerilog.");
7142 # }
7143 # delete[]$8;
7144 # }
7145 # delete[]$3;
7146 # $$ = tmp;
7147 # }
7148 ()
7149 def p_statement_item_11(p):
7150 '''statement_item : K_disable hierarchy_identifier ';' '''
7151 print('statement_item11', list(p))
7152 # { PDisable*tmp = new PDisable(*$2);
7153 # FILE_NAME(tmp, @1);
7154 # delete $2;
7155 # $$ = tmp;
7156 # }
7157 ()
7158 def p_statement_item_12(p):
7159 '''statement_item : K_disable K_fork ';' '''
7160 print('statement_item12', list(p))
7161 # { pform_name_t tmp_name;
7162 # PDisable*tmp = new PDisable(tmp_name);
7163 # FILE_NAME(tmp, @1);
7164 # $$ = tmp;
7165 # }
7166 ()
7167 def p_statement_item_13(p):
7168 '''statement_item : K_TRIGGER hierarchy_identifier ';' '''
7169 print('statement_item13', list(p))
7170 # { PTrigger*tmp = new PTrigger(*$2);
7171 # FILE_NAME(tmp, @1);
7172 # delete $2;
7173 # $$ = tmp;
7174 # }
7175 ()
7176 def p_statement_item_14(p):
7177 '''statement_item : procedural_assertion_statement '''
7178 print('statement_item14', list(p))
7179 # { $$ = $1; }
7180 ()
7181 def p_statement_item_15(p):
7182 '''statement_item : loop_statement '''
7183 print('statement_item15', list(p))
7184 # { $$ = $1; }
7185 ()
7186 def p_statement_item_16(p):
7187 '''statement_item : jump_statement '''
7188 print('statement_item16', list(p))
7189 # { $$ = $1; }
7190 ()
7191 def p_statement_item_17(p):
7192 '''statement_item : K_case '(' expression ')' case_items K_endcase '''
7193 print('statement_item17', list(p))
7194 # { PCase*tmp = new PCase(NetCase::EQ, $3, $5);
7195 # FILE_NAME(tmp, @1);
7196 # $$ = tmp;
7197 # }
7198 ()
7199 def p_statement_item_18(p):
7200 '''statement_item : K_casex '(' expression ')' case_items K_endcase '''
7201 print('statement_item', list(p))
7202 # { PCase*tmp = new PCase(NetCase::EQX, $3, $5);
7203 # FILE_NAME(tmp, @1);
7204 # $$ = tmp;
7205 # }
7206 ()
7207 def p_statement_item_19(p):
7208 '''statement_item : K_casez '(' expression ')' case_items K_endcase '''
7209 print('statement_item', list(p))
7210 # { PCase*tmp = new PCase(NetCase::EQZ, $3, $5);
7211 # FILE_NAME(tmp, @1);
7212 # $$ = tmp;
7213 # }
7214 ()
7215 def p_statement_item_20(p):
7216 '''statement_item : K_case '(' expression ')' error K_endcase '''
7217 print('statement_item', list(p))
7218 # { yyerrok; }
7219 ()
7220 def p_statement_item_21(p):
7221 '''statement_item : K_casex '(' expression ')' error K_endcase '''
7222 print('statement_item', list(p))
7223 # { yyerrok; }
7224 ()
7225 def p_statement_item_22(p):
7226 '''statement_item : K_casez '(' expression ')' error K_endcase '''
7227 print('statement_item', list(p))
7228 # { yyerrok; }
7229 ()
7230 def p_statement_item_23(p):
7231 '''statement_item : K_if '(' expression ')' statement_or_null %prec less_than_K_else '''
7232 print('statement_item', list(p))
7233 # { PCondit*tmp = new PCondit($3, $5, 0);
7234 # FILE_NAME(tmp, @1);
7235 # $$ = tmp;
7236 # }
7237 ()
7238 def p_statement_item_24(p):
7239 '''statement_item : K_if '(' expression ')' statement_or_null K_else statement_or_null '''
7240 print('statement_item', list(p))
7241 # { PCondit*tmp = new PCondit($3, $5, $7);
7242 # FILE_NAME(tmp, @1);
7243 # $$ = tmp;
7244 # }
7245 ()
7246 def p_statement_item_25(p):
7247 '''statement_item : K_if '(' error ')' statement_or_null %prec less_than_K_else '''
7248 print('statement_item', list(p))
7249 # { yyerror(@1, "error: Malformed conditional expression.");
7250 # $$ = $5;
7251 # }
7252 ()
7253 def p_statement_item_26(p):
7254 '''statement_item : K_if '(' error ')' statement_or_null K_else statement_or_null '''
7255 print('statement_item', list(p))
7256 # { yyerror(@1, "error: Malformed conditional expression.");
7257 # $$ = $5;
7258 # }
7259 ()
7260 def p_statement_item_27(p):
7261 '''statement_item : compressed_statement ';' '''
7262 print('statement_item', list(p))
7263 # { $$ = $1; }
7264 ()
7265 def p_statement_item_28(p):
7266 '''statement_item : inc_or_dec_expression ';' '''
7267 print('statement_item', list(p))
7268 # { $$ = pform_compressed_assign_from_inc_dec(@1, $1); }
7269 ()
7270 def p_statement_item_29(p):
7271 '''statement_item : delay1 statement_or_null '''
7272 print('statement_item', list(p))
7273 # { PExpr*del = $1->front();
7274 # assert($1->size() == 1);
7275 # delete $1;
7276 # PDelayStatement*tmp = new PDelayStatement(del, $2);
7277 # FILE_NAME(tmp, @1);
7278 # $$ = tmp;
7279 # }
7280 ()
7281 def p_statement_item_30(p):
7282 '''statement_item : event_control statement_or_null '''
7283 print('statement_item', list(p))
7284 # { PEventStatement*tmp = $1;
7285 # if (tmp == 0) {
7286 # yyerror(@1, "error: Invalid event control.");
7287 # $$ = 0;
7288 # } else {
7289 # tmp->set_statement($2);
7290 # $$ = tmp;
7291 # }
7292 # }
7293 ()
7294 def p_statement_item_31(p):
7295 '''statement_item : '@' '*' statement_or_null '''
7296 print('statement_item', list(p))
7297 # { PEventStatement*tmp = new PEventStatement;
7298 # FILE_NAME(tmp, @1);
7299 # tmp->set_statement($3);
7300 # $$ = tmp;
7301 # }
7302 ()
7303 def p_statement_item_32(p):
7304 '''statement_item : '@' '(' '*' ')' statement_or_null '''
7305 print('statement_item', list(p))
7306 # { PEventStatement*tmp = new PEventStatement;
7307 # FILE_NAME(tmp, @1);
7308 # tmp->set_statement($5);
7309 # $$ = tmp;
7310 # }
7311 ()
7312 def p_statement_item_33(p):
7313 '''statement_item : lpvalue '=' expression ';' '''
7314 print('statement_item33', list(p))
7315 if p[3]:
7316 expr = Node(syms.expr_stmt, [p[1], Leaf(token.EQUAL, p[2]), p[3] ])
7317 print ("expr TODO", repr(expr))
7318 else:
7319 expr = Node(syms.expr_stmt, [p[1], Leaf(token.EQUAL, p[2]), ])
7320 print ("expr", repr(expr))
7321 p[0] = expr
7322 # { PAssign*tmp = new PAssign($1,$3);
7323 # FILE_NAME(tmp, @1);
7324 # $$ = tmp;
7325 # }
7326 ()
7327 def p_statement_item_34(p):
7328 '''statement_item : error '=' expression ';' '''
7329 print('statement_item', list(p))
7330 # { yyerror(@2, "Syntax in assignment statement l-value.");
7331 # yyerrok;
7332 # $$ = new PNoop;
7333 # }
7334 ()
7335 def p_statement_item_35(p):
7336 '''statement_item : lpvalue K_LE expression ';' '''
7337 print('statement_item', list(p))
7338 # { PAssignNB*tmp = new PAssignNB($1,$3);
7339 # FILE_NAME(tmp, @1);
7340 # $$ = tmp;
7341 # }
7342 ()
7343 def p_statement_item_36(p):
7344 '''statement_item : error K_LE expression ';' '''
7345 print('statement_item', list(p))
7346 # { yyerror(@2, "Syntax in assignment statement l-value.");
7347 # yyerrok;
7348 # $$ = new PNoop;
7349 # }
7350 ()
7351 def p_statement_item_37(p):
7352 '''statement_item : lpvalue '=' delay1 expression ';' '''
7353 print('statement_item', list(p))
7354 # { PExpr*del = $3->front(); $3->pop_front();
7355 # assert($3->empty());
7356 # PAssign*tmp = new PAssign($1,del,$4);
7357 # FILE_NAME(tmp, @1);
7358 # $$ = tmp;
7359 # }
7360 ()
7361 def p_statement_item_38(p):
7362 '''statement_item : lpvalue K_LE delay1 expression ';' '''
7363 print('statement_item', list(p))
7364 # { PExpr*del = $3->front(); $3->pop_front();
7365 # assert($3->empty());
7366 # PAssignNB*tmp = new PAssignNB($1,del,$4);
7367 # FILE_NAME(tmp, @1);
7368 # $$ = tmp;
7369 # }
7370 ()
7371 def p_statement_item_39(p):
7372 '''statement_item : lpvalue '=' event_control expression ';' '''
7373 print('statement_item', list(p))
7374 # { PAssign*tmp = new PAssign($1,0,$3,$4);
7375 # FILE_NAME(tmp, @1);
7376 # $$ = tmp;
7377 # }
7378 ()
7379 def p_statement_item_40(p):
7380 '''statement_item : lpvalue '=' K_repeat '(' expression ')' event_control expression ';' '''
7381 print('statement_item', list(p))
7382 # { PAssign*tmp = new PAssign($1,$5,$7,$8);
7383 # FILE_NAME(tmp,@1);
7384 # tmp->set_lineno(@1.first_line);
7385 # $$ = tmp;
7386 # }
7387 ()
7388 def p_statement_item_41(p):
7389 '''statement_item : lpvalue K_LE event_control expression ';' '''
7390 print('statement_item', list(p))
7391 # { PAssignNB*tmp = new PAssignNB($1,0,$3,$4);
7392 # FILE_NAME(tmp, @1);
7393 # $$ = tmp;
7394 # }
7395 ()
7396 def p_statement_item_42(p):
7397 '''statement_item : lpvalue K_LE K_repeat '(' expression ')' event_control expression ';' '''
7398 print('statement_item', list(p))
7399 # { PAssignNB*tmp = new PAssignNB($1,$5,$7,$8);
7400 # FILE_NAME(tmp, @1);
7401 # $$ = tmp;
7402 # }
7403 ()
7404 def p_statement_item_43(p):
7405 '''statement_item : lpvalue '=' dynamic_array_new ';' '''
7406 print('statement_item', list(p))
7407 # { PAssign*tmp = new PAssign($1,$3);
7408 # FILE_NAME(tmp, @1);
7409 # $$ = tmp;
7410 # }
7411 ()
7412 def p_statement_item_44(p):
7413 '''statement_item : lpvalue '=' class_new ';' '''
7414 print('statement_item', list(p))
7415 # { PAssign*tmp = new PAssign($1,$3);
7416 # FILE_NAME(tmp, @1);
7417 # $$ = tmp;
7418 # }
7419 ()
7420 def p_statement_item_45(p):
7421 '''statement_item : K_wait '(' expression ')' statement_or_null '''
7422 print('statement_item', list(p))
7423 # { PEventStatement*tmp;
7424 # PEEvent*etmp = new PEEvent(PEEvent::POSITIVE, $3);
7425 # tmp = new PEventStatement(etmp);
7426 # FILE_NAME(tmp,@1);
7427 # tmp->set_statement($5);
7428 # $$ = tmp;
7429 # }
7430 ()
7431 def p_statement_item_46(p):
7432 '''statement_item : K_wait K_fork ';' '''
7433 print('statement_item', list(p))
7434 # { PEventStatement*tmp = new PEventStatement((PEEvent*)0);
7435 # FILE_NAME(tmp,@1);
7436 # $$ = tmp;
7437 # }
7438 ()
7439 def p_statement_item_47(p):
7440 '''statement_item : SYSTEM_IDENTIFIER '(' expression_list_with_nuls ')' ';' '''
7441 print('statement_item', list(p))
7442 # { PCallTask*tmp = new PCallTask(lex_strings.make($1), *$3);
7443 # FILE_NAME(tmp,@1);
7444 # delete[]$1;
7445 # delete $3;
7446 # $$ = tmp;
7447 # }
7448 ()
7449 def p_statement_item_48(p):
7450 '''statement_item : SYSTEM_IDENTIFIER ';' '''
7451 print('statement_item', list(p))
7452 # { list<PExpr*>pt;
7453 # PCallTask*tmp = new PCallTask(lex_strings.make($1), pt);
7454 # FILE_NAME(tmp,@1);
7455 # delete[]$1;
7456 # $$ = tmp;
7457 # }
7458 ()
7459 def p_statement_item_49(p):
7460 '''statement_item : hierarchy_identifier '(' expression_list_with_nuls ')' ';' '''
7461 print('statement_item', list(p))
7462 # { PCallTask*tmp = pform_make_call_task(@1, *$1, *$3);
7463 # delete $1;
7464 # delete $3;
7465 # $$ = tmp;
7466 # }
7467 ()
7468 def p_statement_item_50(p):
7469 '''statement_item : hierarchy_identifier K_with '{' constraint_block_item_list_opt '}' ';' '''
7470 print('statement_item', list(p))
7471 # { /* ....randomize with { <constraints> } */
7472 # if ($1 && peek_tail_name(*$1) == "randomize") {
7473 # if (!gn_system_verilog())
7474 # yyerror(@2, "error: Randomize with constraint requires SystemVerilog.");
7475 # else
7476 # yyerror(@2, "sorry: Randomize with constraint not supported.");
7477 # } else {
7478 # yyerror(@2, "error: Constraint block can only be applied to randomize method.");
7479 # }
7480 # list<PExpr*>pt;
7481 # PCallTask*tmp = new PCallTask(*$1, pt);
7482 # FILE_NAME(tmp, @1);
7483 # delete $1;
7484 # $$ = tmp;
7485 # }
7486 ()
7487 def p_statement_item_51(p):
7488 '''statement_item : implicit_class_handle '.' hierarchy_identifier '(' expression_list_with_nuls ')' ';' '''
7489 print('statement_item', list(p))
7490 # { pform_name_t*t_name = $1;
7491 # while (! $3->empty()) {
7492 # t_name->push_back($3->front());
7493 # $3->pop_front();
7494 # }
7495 # PCallTask*tmp = new PCallTask(*t_name, *$5);
7496 # FILE_NAME(tmp, @1);
7497 # delete $1;
7498 # delete $3;
7499 # delete $5;
7500 # $$ = tmp;
7501 # }
7502 ()
7503 def p_statement_item_52(p):
7504 '''statement_item : hierarchy_identifier ';' '''
7505 print('statement_item', list(p))
7506 # { list<PExpr*>pt;
7507 # PCallTask*tmp = pform_make_call_task(@1, *$1, pt);
7508 # delete $1;
7509 # $$ = tmp;
7510 # }
7511 ()
7512 def p_statement_item_53(p):
7513 '''statement_item : implicit_class_handle '.' K_new '(' expression_list_with_nuls ')' ';' '''
7514 print('statement_item', list(p))
7515 # { PChainConstructor*tmp = new PChainConstructor(*$5);
7516 # FILE_NAME(tmp, @3);
7517 # delete $1;
7518 # $$ = tmp;
7519 # }
7520 ()
7521 def p_statement_item_54(p):
7522 '''statement_item : hierarchy_identifier '(' error ')' ';' '''
7523 print('statement_item', list(p))
7524 # { yyerror(@3, "error: Syntax error in task arguments.");
7525 # list<PExpr*>pt;
7526 # PCallTask*tmp = pform_make_call_task(@1, *$1, pt);
7527 # delete $1;
7528 # $$ = tmp;
7529 # }
7530 ()
7531 def p_statement_item_55(p):
7532 '''statement_item : error ';' '''
7533 print('statement_item', list(p))
7534 # { yyerror(@2, "error: malformed statement");
7535 # yyerrok;
7536 # $$ = new PNoop;
7537 # }
7538 ()
7539 def p__embed0_statement_item(p):
7540 '''_embed0_statement_item : '''
7541 # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_SEQ);
7542 # FILE_NAME(tmp, @1);
7543 # current_block_stack.push(tmp);
7544 # }
7545 ()
7546 def p__embed1_statement_item(p):
7547 '''_embed1_statement_item : '''
7548 # { if ($3) {
7549 # if (! gn_system_verilog()) {
7550 # yyerror("error: Variable declaration in unnamed block "
7551 # "requires SystemVerilog.");
7552 # }
7553 # } else {
7554 # /* If there are no declarations in the scope then just delete it. */
7555 # pform_pop_scope();
7556 # assert(! current_block_stack.empty());
7557 # PBlock*tmp = current_block_stack.top();
7558 # current_block_stack.pop();
7559 # delete tmp;
7560 # }
7561 # }
7562 ()
7563 def p__embed2_statement_item(p):
7564 '''_embed2_statement_item : '''
7565 # { PBlock*tmp = pform_push_block_scope($3, PBlock::BL_SEQ);
7566 # FILE_NAME(tmp, @1);
7567 # current_block_stack.push(tmp);
7568 # }
7569 ()
7570 def p__embed3_statement_item(p):
7571 '''_embed3_statement_item : '''
7572 # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_PAR);
7573 # FILE_NAME(tmp, @1);
7574 # current_block_stack.push(tmp);
7575 # }
7576 ()
7577 def p__embed4_statement_item(p):
7578 '''_embed4_statement_item : '''
7579 # { if ($3) {
7580 # if (! gn_system_verilog()) {
7581 # yyerror("error: Variable declaration in unnamed block "
7582 # "requires SystemVerilog.");
7583 # }
7584 # } else {
7585 # /* If there are no declarations in the scope then just delete it. */
7586 # pform_pop_scope();
7587 # assert(! current_block_stack.empty());
7588 # PBlock*tmp = current_block_stack.top();
7589 # current_block_stack.pop();
7590 # delete tmp;
7591 # }
7592 # }
7593 ()
7594 def p__embed5_statement_item(p):
7595 '''_embed5_statement_item : '''
7596 # { PBlock*tmp = pform_push_block_scope($3, PBlock::BL_PAR);
7597 # FILE_NAME(tmp, @1);
7598 # current_block_stack.push(tmp);
7599 # }
7600 ()
7601 def p_compressed_statement_1(p):
7602 '''compressed_statement : lpvalue K_PLUS_EQ expression '''
7603 print('compressed_statement', list(p))
7604 # { PAssign*tmp = new PAssign($1, '+', $3);
7605 # FILE_NAME(tmp, @1);
7606 # $$ = tmp;
7607 # }
7608 ()
7609 def p_compressed_statement_2(p):
7610 '''compressed_statement : lpvalue K_MINUS_EQ expression '''
7611 print('compressed_statement', list(p))
7612 # { PAssign*tmp = new PAssign($1, '-', $3);
7613 # FILE_NAME(tmp, @1);
7614 # $$ = tmp;
7615 # }
7616 ()
7617 def p_compressed_statement_3(p):
7618 '''compressed_statement : lpvalue K_MUL_EQ expression '''
7619 print('compressed_statement', list(p))
7620 # { PAssign*tmp = new PAssign($1, '*', $3);
7621 # FILE_NAME(tmp, @1);
7622 # $$ = tmp;
7623 # }
7624 ()
7625 def p_compressed_statement_4(p):
7626 '''compressed_statement : lpvalue K_DIV_EQ expression '''
7627 print('compressed_statement', list(p))
7628 # { PAssign*tmp = new PAssign($1, '/', $3);
7629 # FILE_NAME(tmp, @1);
7630 # $$ = tmp;
7631 # }
7632 ()
7633 def p_compressed_statement_5(p):
7634 '''compressed_statement : lpvalue K_MOD_EQ expression '''
7635 print('compressed_statement', list(p))
7636 # { PAssign*tmp = new PAssign($1, '%', $3);
7637 # FILE_NAME(tmp, @1);
7638 # $$ = tmp;
7639 # }
7640 ()
7641 def p_compressed_statement_6(p):
7642 '''compressed_statement : lpvalue K_AND_EQ expression '''
7643 print('compressed_statement', list(p))
7644 # { PAssign*tmp = new PAssign($1, '&', $3);
7645 # FILE_NAME(tmp, @1);
7646 # $$ = tmp;
7647 # }
7648 ()
7649 def p_compressed_statement_7(p):
7650 '''compressed_statement : lpvalue K_OR_EQ expression '''
7651 print('compressed_statement', list(p))
7652 # { PAssign*tmp = new PAssign($1, '|', $3);
7653 # FILE_NAME(tmp, @1);
7654 # $$ = tmp;
7655 # }
7656 ()
7657 def p_compressed_statement_8(p):
7658 '''compressed_statement : lpvalue K_XOR_EQ expression '''
7659 print('compressed_statement', list(p))
7660 # { PAssign*tmp = new PAssign($1, '^', $3);
7661 # FILE_NAME(tmp, @1);
7662 # $$ = tmp;
7663 # }
7664 ()
7665 def p_compressed_statement_9(p):
7666 '''compressed_statement : lpvalue K_LS_EQ expression '''
7667 print('compressed_statement', list(p))
7668 # { PAssign *tmp = new PAssign($1, 'l', $3);
7669 # FILE_NAME(tmp, @1);
7670 # $$ = tmp;
7671 # }
7672 ()
7673 def p_compressed_statement_10(p):
7674 '''compressed_statement : lpvalue K_RS_EQ expression '''
7675 print('compressed_statement', list(p))
7676 # { PAssign*tmp = new PAssign($1, 'r', $3);
7677 # FILE_NAME(tmp, @1);
7678 # $$ = tmp;
7679 # }
7680 ()
7681 def p_compressed_statement_11(p):
7682 '''compressed_statement : lpvalue K_RSS_EQ expression '''
7683 print('compressed_statement', list(p))
7684 # { PAssign *tmp = new PAssign($1, 'R', $3);
7685 # FILE_NAME(tmp, @1);
7686 # $$ = tmp;
7687 # }
7688 ()
7689 def p_statement_or_null_list_opt_1(p):
7690 '''statement_or_null_list_opt : statement_or_null_list '''
7691 print('statement_or_null_list_opt', list(p))
7692 # { $$ = $1; }
7693 ()
7694 def p_statement_or_null_list_opt_2(p):
7695 '''statement_or_null_list_opt : '''
7696 print('statement_or_null_list_opt', list(p))
7697 # { $$ = 0; }
7698 ()
7699 def p_statement_or_null_list_1(p):
7700 '''statement_or_null_list : statement_or_null_list statement_or_null '''
7701 print('statement_or_null_list', list(p))
7702 # { vector<Statement*>*tmp = $1;
7703 # if ($2) tmp->push_back($2);
7704 # $$ = tmp;
7705 # }
7706 ()
7707 def p_statement_or_null_list_2(p):
7708 '''statement_or_null_list : statement_or_null '''
7709 print('statement_or_null_list', list(p))
7710 # { vector<Statement*>*tmp = new vector<Statement*>(0);
7711 # if ($1) tmp->push_back($1);
7712 # $$ = tmp;
7713 # }
7714 ()
7715 def p_analog_statement_1(p):
7716 '''analog_statement : branch_probe_expression K_CONTRIBUTE expression ';' '''
7717 print('analog_statement', list(p))
7718 # { $$ = pform_contribution_statement(@2, $1, $3); }
7719 ()
7720 def p_task_item_1(p):
7721 '''task_item : block_item_decl '''
7722 print('task_item', list(p))
7723 # { $$ = new vector<pform_tf_port_t>(0); }
7724 ()
7725 def p_task_item_2(p):
7726 '''task_item : tf_port_declaration '''
7727 print('task_item', list(p))
7728 # { $$ = $1; }
7729 ()
7730 def p_task_item_list_1(p):
7731 '''task_item_list : task_item_list task_item '''
7732 print('task_item_list', list(p))
7733 # { vector<pform_tf_port_t>*tmp = $1;
7734 # size_t s1 = tmp->size();
7735 # tmp->resize(s1 + $2->size());
7736 # for (size_t idx = 0 ; idx < $2->size() ; idx += 1)
7737 # tmp->at(s1 + idx) = $2->at(idx);
7738 # delete $2;
7739 # $$ = tmp;
7740 # }
7741 ()
7742 def p_task_item_list_2(p):
7743 '''task_item_list : task_item '''
7744 print('task_item_list', list(p))
7745 # { $$ = $1; }
7746 ()
7747 def p_task_item_list_opt_1(p):
7748 '''task_item_list_opt : task_item_list '''
7749 print('task_item_list_opt', list(p))
7750 # { $$ = $1; }
7751 ()
7752 def p_task_item_list_opt_2(p):
7753 '''task_item_list_opt : '''
7754 print('task_item_list_opt', list(p))
7755 # { $$ = 0; }
7756 ()
7757 def p_tf_port_list_opt_1(p):
7758 '''tf_port_list_opt : tf_port_list '''
7759 print('tf_port_list_opt', list(p))
7760 # { $$ = $1; }
7761 ()
7762 def p_tf_port_list_opt_2(p):
7763 '''tf_port_list_opt : '''
7764 print('tf_port_list_opt', list(p))
7765 # { $$ = 0; }
7766 ()
7767 def p_udp_body_1(p):
7768 '''udp_body : K_table udp_entry_list K_endtable '''
7769 print('udp_body', list(p))
7770 # { lex_end_table();
7771 # $$ = $2;
7772 # }
7773 ()
7774 def p_udp_body_2(p):
7775 '''udp_body : K_table K_endtable '''
7776 print('udp_body', list(p))
7777 # { lex_end_table();
7778 # yyerror(@1, "error: Empty UDP table.");
7779 # $$ = 0;
7780 # }
7781 ()
7782 def p_udp_body_3(p):
7783 '''udp_body : K_table error K_endtable '''
7784 print('udp_body', list(p))
7785 # { lex_end_table();
7786 # yyerror(@2, "Errors in UDP table");
7787 # yyerrok;
7788 # $$ = 0;
7789 # }
7790 ()
7791 def p_udp_entry_list_1(p):
7792 '''udp_entry_list : udp_comb_entry_list '''
7793 print('udp_entry_list', list(p))
7794 ()
7795 def p_udp_entry_list_2(p):
7796 '''udp_entry_list : udp_sequ_entry_list '''
7797 print('udp_entry_list', list(p))
7798 ()
7799 def p_udp_comb_entry_1(p):
7800 '''udp_comb_entry : udp_input_list ':' udp_output_sym ';' '''
7801 print('udp_comb_entry', list(p))
7802 # { char*tmp = new char[strlen($1)+3];
7803 # strcpy(tmp, $1);
7804 # char*tp = tmp+strlen(tmp);
7805 # *tp++ = ':';
7806 # *tp++ = $3;
7807 # *tp++ = 0;
7808 # delete[]$1;
7809 # $$ = tmp;
7810 # }
7811 ()
7812 def p_udp_comb_entry_list_1(p):
7813 '''udp_comb_entry_list : udp_comb_entry '''
7814 print('udp_comb_entry_list', list(p))
7815 # { list<string>*tmp = new list<string>;
7816 # tmp->push_back($1);
7817 # delete[]$1;
7818 # $$ = tmp;
7819 # }
7820 ()
7821 def p_udp_comb_entry_list_2(p):
7822 '''udp_comb_entry_list : udp_comb_entry_list udp_comb_entry '''
7823 print('udp_comb_entry_list', list(p))
7824 # { list<string>*tmp = $1;
7825 # tmp->push_back($2);
7826 # delete[]$2;
7827 # $$ = tmp;
7828 # }
7829 ()
7830 def p_udp_sequ_entry_list_1(p):
7831 '''udp_sequ_entry_list : udp_sequ_entry '''
7832 print('udp_sequ_entry_list', list(p))
7833 # { list<string>*tmp = new list<string>;
7834 # tmp->push_back($1);
7835 # delete[]$1;
7836 # $$ = tmp;
7837 # }
7838 ()
7839 def p_udp_sequ_entry_list_2(p):
7840 '''udp_sequ_entry_list : udp_sequ_entry_list udp_sequ_entry '''
7841 print('udp_sequ_entry_list', list(p))
7842 # { list<string>*tmp = $1;
7843 # tmp->push_back($2);
7844 # delete[]$2;
7845 # $$ = tmp;
7846 # }
7847 ()
7848 def p_udp_sequ_entry_1(p):
7849 '''udp_sequ_entry : udp_input_list ':' udp_input_sym ':' udp_output_sym ';' '''
7850 print('udp_sequ_entry', list(p))
7851 # { char*tmp = new char[strlen($1)+5];
7852 # strcpy(tmp, $1);
7853 # char*tp = tmp+strlen(tmp);
7854 # *tp++ = ':';
7855 # *tp++ = $3;
7856 # *tp++ = ':';
7857 # *tp++ = $5;
7858 # *tp++ = 0;
7859 # $$ = tmp;
7860 # }
7861 ()
7862 def p_udp_initial_1(p):
7863 '''udp_initial : K_initial IDENTIFIER '=' number ';' '''
7864 print('udp_initial', list(p))
7865 # { PExpr*etmp = new PENumber($4);
7866 # PEIdent*itmp = new PEIdent(lex_strings.make($2));
7867 # PAssign*atmp = new PAssign(itmp, etmp);
7868 # FILE_NAME(atmp, @2);
7869 # delete[]$2;
7870 # $$ = atmp;
7871 # }
7872 ()
7873 def p_udp_init_opt_1(p):
7874 '''udp_init_opt : udp_initial '''
7875 print('udp_init_opt', list(p))
7876 # { $$ = $1; }
7877 ()
7878 def p_udp_init_opt_2(p):
7879 '''udp_init_opt : '''
7880 print('udp_init_opt', list(p))
7881 # { $$ = 0; }
7882 ()
7883 def p_udp_input_list_1(p):
7884 '''udp_input_list : udp_input_sym '''
7885 print('udp_input_list', list(p))
7886 # { char*tmp = new char[2];
7887 # tmp[0] = $1;
7888 # tmp[1] = 0;
7889 # $$ = tmp;
7890 # }
7891 ()
7892 def p_udp_input_list_2(p):
7893 '''udp_input_list : udp_input_list udp_input_sym '''
7894 print('udp_input_list', list(p))
7895 # { char*tmp = new char[strlen($1)+2];
7896 # strcpy(tmp, $1);
7897 # char*tp = tmp+strlen(tmp);
7898 # *tp++ = $2;
7899 # *tp++ = 0;
7900 # delete[]$1;
7901 # $$ = tmp;
7902 # }
7903 ()
7904 def p_udp_input_sym_1(p):
7905 '''udp_input_sym : '0' '''
7906 print('udp_input_sym', list(p))
7907 # { $$ = '0'; }
7908 ()
7909 def p_udp_input_sym_2(p):
7910 '''udp_input_sym : '1' '''
7911 print('udp_input_sym', list(p))
7912 # { $$ = '1'; }
7913 ()
7914 def p_udp_input_sym_3(p):
7915 '''udp_input_sym : 'x' '''
7916 print('udp_input_sym', list(p))
7917 # { $$ = 'x'; }
7918 ()
7919 def p_udp_input_sym_4(p):
7920 '''udp_input_sym : '?' '''
7921 print('udp_input_sym', list(p))
7922 # { $$ = '?'; }
7923 ()
7924 def p_udp_input_sym_5(p):
7925 '''udp_input_sym : 'b' '''
7926 print('udp_input_sym', list(p))
7927 # { $$ = 'b'; }
7928 ()
7929 def p_udp_input_sym_6(p):
7930 '''udp_input_sym : '*' '''
7931 print('udp_input_sym', list(p))
7932 # { $$ = '*'; }
7933 ()
7934 def p_udp_input_sym_7(p):
7935 '''udp_input_sym : '%' '''
7936 print('udp_input_sym', list(p))
7937 # { $$ = '%'; }
7938 ()
7939 def p_udp_input_sym_8(p):
7940 '''udp_input_sym : 'f' '''
7941 print('udp_input_sym', list(p))
7942 # { $$ = 'f'; }
7943 ()
7944 def p_udp_input_sym_9(p):
7945 '''udp_input_sym : 'F' '''
7946 print('udp_input_sym', list(p))
7947 # { $$ = 'F'; }
7948 ()
7949 def p_udp_input_sym_10(p):
7950 '''udp_input_sym : 'l' '''
7951 print('udp_input_sym', list(p))
7952 # { $$ = 'l'; }
7953 ()
7954 def p_udp_input_sym_11(p):
7955 '''udp_input_sym : 'h' '''
7956 print('udp_input_sym', list(p))
7957 # { $$ = 'h'; }
7958 ()
7959 def p_udp_input_sym_12(p):
7960 '''udp_input_sym : 'B' '''
7961 print('udp_input_sym', list(p))
7962 # { $$ = 'B'; }
7963 ()
7964 def p_udp_input_sym_13(p):
7965 '''udp_input_sym : 'r' '''
7966 print('udp_input_sym', list(p))
7967 # { $$ = 'r'; }
7968 ()
7969 def p_udp_input_sym_14(p):
7970 '''udp_input_sym : 'R' '''
7971 print('udp_input_sym', list(p))
7972 # { $$ = 'R'; }
7973 ()
7974 def p_udp_input_sym_15(p):
7975 '''udp_input_sym : 'M' '''
7976 print('udp_input_sym', list(p))
7977 # { $$ = 'M'; }
7978 ()
7979 def p_udp_input_sym_16(p):
7980 '''udp_input_sym : 'n' '''
7981 print('udp_input_sym', list(p))
7982 # { $$ = 'n'; }
7983 ()
7984 def p_udp_input_sym_17(p):
7985 '''udp_input_sym : 'N' '''
7986 print('udp_input_sym', list(p))
7987 # { $$ = 'N'; }
7988 ()
7989 def p_udp_input_sym_18(p):
7990 '''udp_input_sym : 'p' '''
7991 print('udp_input_sym', list(p))
7992 # { $$ = 'p'; }
7993 ()
7994 def p_udp_input_sym_19(p):
7995 '''udp_input_sym : 'P' '''
7996 print('udp_input_sym', list(p))
7997 # { $$ = 'P'; }
7998 ()
7999 def p_udp_input_sym_20(p):
8000 '''udp_input_sym : 'Q' '''
8001 print('udp_input_sym', list(p))
8002 # { $$ = 'Q'; }
8003 ()
8004 def p_udp_input_sym_21(p):
8005 '''udp_input_sym : 'q' '''
8006 print('udp_input_sym', list(p))
8007 # { $$ = 'q'; }
8008 ()
8009 def p_udp_input_sym_22(p):
8010 '''udp_input_sym : '_' '''
8011 print('udp_input_sym', list(p))
8012 # { $$ = '_'; }
8013 ()
8014 def p_udp_input_sym_23(p):
8015 '''udp_input_sym : '+' '''
8016 print('udp_input_sym', list(p))
8017 # { $$ = '+'; }
8018 ()
8019 def p_udp_input_sym_24(p):
8020 '''udp_input_sym : DEC_NUMBER '''
8021 print('udp_input_sym', list(p))
8022 # { yyerror(@1, "internal error: Input digits parse as decimal number!"); $$ = '0'; }
8023 ()
8024 def p_udp_output_sym_1(p):
8025 '''udp_output_sym : '0' '''
8026 print('udp_output_sym', list(p))
8027 # { $$ = '0'; }
8028 ()
8029 def p_udp_output_sym_2(p):
8030 '''udp_output_sym : '1' '''
8031 print('udp_output_sym', list(p))
8032 # { $$ = '1'; }
8033 ()
8034 def p_udp_output_sym_3(p):
8035 '''udp_output_sym : 'x' '''
8036 print('udp_output_sym', list(p))
8037 # { $$ = 'x'; }
8038 ()
8039 def p_udp_output_sym_4(p):
8040 '''udp_output_sym : '-' '''
8041 print('udp_output_sym', list(p))
8042 # { $$ = '-'; }
8043 ()
8044 def p_udp_output_sym_5(p):
8045 '''udp_output_sym : DEC_NUMBER '''
8046 print('udp_output_sym', list(p))
8047 # { yyerror(@1, "internal error: Output digits parse as decimal number!"); $$ = '0'; }
8048 ()
8049 def p_udp_port_decl_1(p):
8050 '''udp_port_decl : K_input list_of_identifiers ';' '''
8051 print('udp_port_decl', list(p))
8052 # { $$ = pform_make_udp_input_ports($2); }
8053 ()
8054 def p_udp_port_decl_2(p):
8055 '''udp_port_decl : K_output IDENTIFIER ';' '''
8056 print('udp_port_decl', list(p))
8057 # { perm_string pname = lex_strings.make($2);
8058 # PWire*pp = new PWire(pname, NetNet::IMPLICIT, NetNet::POUTPUT, IVL_VT_LOGIC);
8059 # vector<PWire*>*tmp = new vector<PWire*>(1);
8060 # (*tmp)[0] = pp;
8061 # $$ = tmp;
8062 # delete[]$2;
8063 # }
8064 ()
8065 def p_udp_port_decl_3(p):
8066 '''udp_port_decl : K_reg IDENTIFIER ';' '''
8067 print('udp_port_decl', list(p))
8068 # { perm_string pname = lex_strings.make($2);
8069 # PWire*pp = new PWire(pname, NetNet::REG, NetNet::PIMPLICIT, IVL_VT_LOGIC);
8070 # vector<PWire*>*tmp = new vector<PWire*>(1);
8071 # (*tmp)[0] = pp;
8072 # $$ = tmp;
8073 # delete[]$2;
8074 # }
8075 ()
8076 def p_udp_port_decl_4(p):
8077 '''udp_port_decl : K_reg K_output IDENTIFIER ';' '''
8078 print('udp_port_decl', list(p))
8079 # { perm_string pname = lex_strings.make($3);
8080 # PWire*pp = new PWire(pname, NetNet::REG, NetNet::POUTPUT, IVL_VT_LOGIC);
8081 # vector<PWire*>*tmp = new vector<PWire*>(1);
8082 # (*tmp)[0] = pp;
8083 # $$ = tmp;
8084 # delete[]$3;
8085 # }
8086 ()
8087 def p_udp_port_decls_1(p):
8088 '''udp_port_decls : udp_port_decl '''
8089 print('udp_port_decls', list(p))
8090 # { $$ = $1; }
8091 ()
8092 def p_udp_port_decls_2(p):
8093 '''udp_port_decls : udp_port_decls udp_port_decl '''
8094 print('udp_port_decls', list(p))
8095 # { vector<PWire*>*tmp = $1;
8096 # size_t s1 = $1->size();
8097 # tmp->resize(s1+$2->size());
8098 # for (size_t idx = 0 ; idx < $2->size() ; idx += 1)
8099 # tmp->at(s1+idx) = $2->at(idx);
8100 # $$ = tmp;
8101 # delete $2;
8102 # }
8103 ()
8104 def p_udp_port_list_1(p):
8105 '''udp_port_list : IDENTIFIER '''
8106 print('udp_port_list', list(p))
8107 # { list<perm_string>*tmp = new list<perm_string>;
8108 # tmp->push_back(lex_strings.make($1));
8109 # delete[]$1;
8110 # $$ = tmp;
8111 # }
8112 ()
8113 def p_udp_port_list_2(p):
8114 '''udp_port_list : udp_port_list ',' IDENTIFIER '''
8115 print('udp_port_list', list(p))
8116 # { list<perm_string>*tmp = $1;
8117 # tmp->push_back(lex_strings.make($3));
8118 # delete[]$3;
8119 # $$ = tmp;
8120 # }
8121 ()
8122 def p_udp_reg_opt_1(p):
8123 '''udp_reg_opt : K_reg '''
8124 print('udp_reg_opt', list(p))
8125 # { $$ = true; }
8126 ()
8127 def p_udp_reg_opt_2(p):
8128 '''udp_reg_opt : '''
8129 print('udp_reg_opt', list(p))
8130 # { $$ = false; }
8131 ()
8132 def p_udp_initial_expr_opt_1(p):
8133 '''udp_initial_expr_opt : '=' expression '''
8134 print('udp_initial_expr_opt', list(p))
8135 # { $$ = $2; }
8136 ()
8137 def p_udp_initial_expr_opt_2(p):
8138 '''udp_initial_expr_opt : '''
8139 print('udp_initial_expr_opt', list(p))
8140 # { $$ = 0; }
8141 ()
8142 def p_udp_input_declaration_list_1(p):
8143 '''udp_input_declaration_list : K_input IDENTIFIER '''
8144 print('udp_input_declaration_list', list(p))
8145 # { list<perm_string>*tmp = new list<perm_string>;
8146 # tmp->push_back(lex_strings.make($2));
8147 # $$ = tmp;
8148 # delete[]$2;
8149 # }
8150 ()
8151 def p_udp_input_declaration_list_2(p):
8152 '''udp_input_declaration_list : udp_input_declaration_list ',' K_input IDENTIFIER '''
8153 print('udp_input_declaration_list', list(p))
8154 # { list<perm_string>*tmp = $1;
8155 # tmp->push_back(lex_strings.make($4));
8156 # $$ = tmp;
8157 # delete[]$4;
8158 # }
8159 ()
8160 def p_udp_primitive_1(p):
8161 '''udp_primitive : K_primitive IDENTIFIER '(' udp_port_list ')' ';' udp_port_decls udp_init_opt udp_body K_endprimitive endlabel_opt '''
8162 print('udp_primitive', list(p))
8163 # { perm_string tmp2 = lex_strings.make($2);
8164 # pform_make_udp(tmp2, $4, $7, $9, $8,
8165 # @2.text, @2.first_line);
8166 # if ($11) {
8167 # if (strcmp($2,$11) != 0) {
8168 # yyerror(@11, "error: End label doesn't match "
8169 # "primitive name");
8170 # }
8171 # if (! gn_system_verilog()) {
8172 # yyerror(@11, "error: Primitive end labels "
8173 # "require SystemVerilog.");
8174 # }
8175 # delete[]$11;
8176 # }
8177 # delete[]$2;
8178 # }
8179 ()
8180 def p_udp_primitive_2(p):
8181 '''udp_primitive : K_primitive IDENTIFIER '(' K_output udp_reg_opt IDENTIFIER udp_initial_expr_opt ',' udp_input_declaration_list ')' ';' udp_body K_endprimitive endlabel_opt '''
8182 print('udp_primitive', list(p))
8183 # { perm_string tmp2 = lex_strings.make($2);
8184 # perm_string tmp6 = lex_strings.make($6);
8185 # pform_make_udp(tmp2, $5, tmp6, $7, $9, $12,
8186 # @2.text, @2.first_line);
8187 # if ($14) {
8188 # if (strcmp($2,$14) != 0) {
8189 # yyerror(@14, "error: End label doesn't match "
8190 # "primitive name");
8191 # }
8192 # if (! gn_system_verilog()) {
8193 # yyerror(@14, "error: Primitive end labels "
8194 # "require SystemVerilog.");
8195 # }
8196 # delete[]$14;
8197 # }
8198 # delete[]$2;
8199 # delete[]$6;
8200 # }
8201 ()
8202 def p_K_packed_opt_1(p):
8203 '''K_packed_opt : K_packed '''
8204 print('K_packed_opt', list(p))
8205 # { $$ = true; }
8206 ()
8207 def p_K_packed_opt_2(p):
8208 '''K_packed_opt : '''
8209 print('K_packed_opt', list(p))
8210 # { $$ = false; }
8211 ()
8212 def p_K_reg_opt_1(p):
8213 '''K_reg_opt : K_reg '''
8214 print('K_reg_opt', list(p))
8215 # { $$ = true; }
8216 ()
8217 def p_K_reg_opt_2(p):
8218 '''K_reg_opt : '''
8219 print('K_reg_opt', list(p))
8220 # { $$ = false; }
8221 ()
8222 def p_K_static_opt_1(p):
8223 '''K_static_opt : K_static '''
8224 print('K_static_opt', list(p))
8225 # { $$ = true; }
8226 ()
8227 def p_K_static_opt_2(p):
8228 '''K_static_opt : '''
8229 print('K_static_opt', list(p))
8230 # { $$ = false; }
8231 ()
8232
8233 def p_K_virtual_opt_1(p):
8234 '''K_virtual_opt : K_virtual '''
8235 print(p)
8236 # { $$ = true; }
8237 ()
8238 def p_K_virtual_opt_2(p):
8239 '''K_virtual_opt : '''
8240 print(p)
8241 # { $$ = false; }
8242 ()
8243
8244 def p_error(p):
8245 print ("error", p)
8246 exit(0)
8247
8248 yacc.yacc(debug=0)
8249