comb assignment now working
[sv2nmigen.git] / examples / assignment.py
index c338a6bf7a26fd3bf07be6c0f2965947ad83b6a0..d70d8508c84a63af6b7fab6264e814baf861c810 100644 (file)
@@ -4,13 +4,13 @@ from nmigen import Signal, Module, Const, Cat, Elaboratable
 
 
 
-#ASSIGN[None, Leaf(1, 'o'), '=', Leaf(1, 'i')]
 class assignment(Elaboratable):
 
     def __init__(self):
-        self.i = Signal() # input
         self.o = Signal() # output
+        self.i = Signal() # input
     def elaborate(self, platform=None):
         m = Module()
+        m.d.comb += self.o.eq(self.i)
         return m