From: Luke Kenneth Casson Leighton Date: Thu, 25 Apr 2019 13:31:26 +0000 (+0100) Subject: add in full rule name / id X-Git-Url: https://git.libre-soc.org/?p=sv2nmigen.git;a=commitdiff_plain;h=b67dc881b26c53a90087bf7bea80d5b05588e57a add in full rule name / id --- diff --git a/parse_sv.py b/parse_sv.py index 780878a..df38a7c 100644 --- a/parse_sv.py +++ b/parse_sv.py @@ -72,11 +72,11 @@ def p__embed0_source_text(p): () def p_assertion_item_1(p): '''assertion_item : concurrent_assertion_item ''' - print('assertion_item', list(p)) + print('assertion_item_1', list(p)) () def p_assignment_pattern_1(p): '''assignment_pattern : K_LP expression_list_proper '}' ''' - print('assignment_pattern', list(p)) + print('assignment_pattern_1', list(p)) # { PEAssignPattern*tmp = new PEAssignPattern(*$2); # FILE_NAME(tmp, @1); # delete $2; @@ -85,7 +85,7 @@ def p_assignment_pattern_1(p): () def p_assignment_pattern_2(p): '''assignment_pattern : K_LP '}' ''' - print('assignment_pattern', list(p)) + print('assignment_pattern_2', list(p)) # { PEAssignPattern*tmp = new PEAssignPattern; # FILE_NAME(tmp, @1); # $$ = tmp; @@ -93,15 +93,15 @@ def p_assignment_pattern_2(p): () def p_block_identifier_opt_1(p): '''block_identifier_opt : IDENTIFIER ':' ''' - print('block_identifier_opt', list(p)) + print('block_identifier_opt_1', list(p)) () def p_block_identifier_opt_2(p): '''block_identifier_opt : ''' - print('block_identifier_opt', list(p)) + print('block_identifier_opt_2', list(p)) () def p_class_declaration_1(p): '''class_declaration : K_virtual_opt K_class lifetime_opt class_identifier class_declaration_extends_opt ';' _embed0_class_declaration class_items_opt K_endclass _embed1_class_declaration class_declaration_endlabel_opt ''' - print('class_declaration', list(p)) + print('class_declaration_1', list(p)) # { // Wrap up the class. # if ($11 && $4 && $4->name != $11) { # yyerror(@11, "error: Class end label doesn't match class name."); @@ -121,15 +121,15 @@ def p__embed1_class_declaration(p): () def p_class_constraint_1(p): '''class_constraint : constraint_prototype ''' - print('class_constraint', list(p)) + print('class_constraint_1', list(p)) () def p_class_constraint_2(p): '''class_constraint : constraint_declaration ''' - print('class_constraint', list(p)) + print('class_constraint_2', list(p)) () def p_class_identifier_1(p): '''class_identifier : IDENTIFIER ''' - print('class_identifier', list(p)) + print('class_identifier_1', list(p)) # { // Create a synthetic typedef for the class name so that the # // lexor detects the name as a type. # perm_string name = lex_strings.make($1); @@ -142,7 +142,7 @@ def p_class_identifier_1(p): () def p_class_identifier_2(p): '''class_identifier : TYPE_IDENTIFIER ''' - print('class_identifier', list(p)) + print('class_identifier_2', list(p)) # { class_type_t*tmp = dynamic_cast($1.type); # if (tmp == 0) { # yyerror(@1, "Type name \"%s\"is not a predeclared class name.", $1.text); @@ -153,7 +153,7 @@ def p_class_identifier_2(p): () def p_class_declaration_endlabel_opt_1(p): '''class_declaration_endlabel_opt : ':' TYPE_IDENTIFIER ''' - print('class_declaration_endlabel_opt', list(p)) + print('class_declaration_endlabel_opt_1', list(p)) # { class_type_t*tmp = dynamic_cast ($2.type); # if (tmp == 0) { # yyerror(@2, "error: class declaration endlabel \"%s\" is not a class name\n", $2.text); @@ -166,17 +166,17 @@ def p_class_declaration_endlabel_opt_1(p): () def p_class_declaration_endlabel_opt_2(p): '''class_declaration_endlabel_opt : ':' IDENTIFIER ''' - print('class_declaration_endlabel_opt', list(p)) + print('class_declaration_endlabel_opt_2', list(p)) # { $$ = $2; } () def p_class_declaration_endlabel_opt_3(p): '''class_declaration_endlabel_opt : ''' - print('class_declaration_endlabel_opt', list(p)) + print('class_declaration_endlabel_opt_3', list(p)) # { $$ = 0; } () def p_class_declaration_extends_opt_1(p): '''class_declaration_extends_opt : K_extends TYPE_IDENTIFIER ''' - print('class_declaration_extends_opt', list(p)) + print('class_declaration_extends_opt_1', list(p)) # { $$.type = $2.type; # $$.exprs= 0; # delete[]$2.text; @@ -184,7 +184,7 @@ def p_class_declaration_extends_opt_1(p): () def p_class_declaration_extends_opt_2(p): '''class_declaration_extends_opt : K_extends TYPE_IDENTIFIER '(' expression_list_with_nuls ')' ''' - print('class_declaration_extends_opt', list(p)) + print('class_declaration_extends_opt_2', list(p)) # { $$.type = $2.type; # $$.exprs = $4; # delete[]$2.text; @@ -192,28 +192,28 @@ def p_class_declaration_extends_opt_2(p): () def p_class_declaration_extends_opt_3(p): '''class_declaration_extends_opt : ''' - print('class_declaration_extends_opt', list(p)) + print('class_declaration_extends_opt_3', list(p)) # { $$.type = 0; $$.exprs = 0; } () def p_class_items_opt_1(p): '''class_items_opt : class_items ''' - print('class_items_opt', list(p)) + print('class_items_opt_1', list(p)) () def p_class_items_opt_2(p): '''class_items_opt : ''' - print('class_items_opt', list(p)) + print('class_items_opt_2', list(p)) () def p_class_items_1(p): '''class_items : class_items class_item ''' - print('class_items', list(p)) + print('class_items_1', list(p)) () def p_class_items_2(p): '''class_items : class_item ''' - print('class_items', list(p)) + print('class_items_2', list(p)) () def p_class_item_1(p): '''class_item : method_qualifier_opt K_function K_new _embed0_class_item '(' tf_port_list_opt ')' ';' function_item_list_opt statement_or_null_list_opt K_endfunction endnew_opt ''' - print('class_item', list(p)) + print('class_item_1', list(p)) # { current_function->set_ports($6); # pform_set_constructor_return(current_function); # pform_set_this_class(@3, current_function); @@ -224,90 +224,90 @@ def p_class_item_1(p): () def p_class_item_2(p): '''class_item : property_qualifier_opt data_type list_of_variable_decl_assignments ';' ''' - print('class_item', list(p)) + print('class_item_2', list(p)) # { pform_class_property(@2, $1, $2, $3); } () def p_class_item_3(p): '''class_item : K_const class_item_qualifier_opt data_type list_of_variable_decl_assignments ';' ''' - print('class_item', list(p)) + print('class_item_3', list(p)) # { pform_class_property(@1, $2 | property_qualifier_t::make_const(), $3, $4); } () def p_class_item_4(p): '''class_item : method_qualifier_opt task_declaration ''' - print('class_item', list(p)) + print('class_item_4', list(p)) # { /* The task_declaration rule puts this into the class */ } () def p_class_item_5(p): '''class_item : method_qualifier_opt function_declaration ''' - print('class_item', list(p)) + print('class_item_5', list(p)) # { /* The function_declaration rule puts this into the class */ } () def p_class_item_6(p): '''class_item : K_extern method_qualifier_opt K_function K_new ';' ''' - print('class_item', list(p)) + print('class_item_6', list(p)) # { yyerror(@1, "sorry: External constructors are not yet supported."); } () def p_class_item_7(p): '''class_item : K_extern method_qualifier_opt K_function K_new '(' tf_port_list_opt ')' ';' ''' - print('class_item', list(p)) + print('class_item_7', list(p)) # { yyerror(@1, "sorry: External constructors are not yet supported."); } () def p_class_item_8(p): '''class_item : K_extern method_qualifier_opt K_function data_type_or_implicit_or_void IDENTIFIER ';' ''' - print('class_item', list(p)) + print('class_item_8', list(p)) # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] $5; # } () def p_class_item_9(p): '''class_item : K_extern method_qualifier_opt K_function data_type_or_implicit_or_void IDENTIFIER '(' tf_port_list_opt ')' ';' ''' - print('class_item', list(p)) + print('class_item_9', list(p)) # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] $5; # } () def p_class_item_10(p): '''class_item : K_extern method_qualifier_opt K_task IDENTIFIER ';' ''' - print('class_item', list(p)) + print('class_item_10', list(p)) # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] $4; # } () def p_class_item_11(p): '''class_item : K_extern method_qualifier_opt K_task IDENTIFIER '(' tf_port_list_opt ')' ';' ''' - print('class_item', list(p)) + print('class_item_11', list(p)) # { yyerror(@1, "sorry: External methods are not yet supported."); # delete[] $4; # } () def p_class_item_12(p): '''class_item : class_constraint ''' - print('class_item', list(p)) + print('class_item_12', list(p)) () def p_class_item_13(p): '''class_item : property_qualifier_opt data_type error ';' ''' - print('class_item', list(p)) + print('class_item_13', list(p)) # { yyerror(@3, "error: Errors in variable names after data type."); # yyerrok; # } () def p_class_item_14(p): '''class_item : property_qualifier_opt IDENTIFIER error ';' ''' - print('class_item', list(p)) + print('class_item_14', list(p)) # { yyerror(@3, "error: %s doesn't name a type.", $2); # yyerrok; # } () def p_class_item_15(p): '''class_item : method_qualifier_opt K_function K_new error K_endfunction endnew_opt ''' - print('class_item', list(p)) + print('class_item_15', list(p)) # { yyerror(@1, "error: I give up on this class constructor declaration."); # yyerrok; # } () def p_class_item_16(p): '''class_item : error ';' ''' - print('class_item', list(p)) + print('class_item_16', list(p)) # { yyerror(@2, "error: invalid class item."); # yyerrok; # } @@ -320,42 +320,42 @@ def p__embed0_class_item(p): () def p_class_item_qualifier_1(p): '''class_item_qualifier : K_static ''' - print('class_item_qualifier', list(p)) + print('class_item_qualifier_1', list(p)) # { $$ = property_qualifier_t::make_static(); } () def p_class_item_qualifier_2(p): '''class_item_qualifier : K_protected ''' - print('class_item_qualifier', list(p)) + print('class_item_qualifier_2', list(p)) # { $$ = property_qualifier_t::make_protected(); } () def p_class_item_qualifier_3(p): '''class_item_qualifier : K_local ''' - print('class_item_qualifier', list(p)) + print('class_item_qualifier_3', list(p)) # { $$ = property_qualifier_t::make_local(); } () def p_class_item_qualifier_list_1(p): '''class_item_qualifier_list : class_item_qualifier_list class_item_qualifier ''' - print('class_item_qualifier_list', list(p)) + print('class_item_qualifier_list_1', list(p)) # { $$ = $1 | $2; } () def p_class_item_qualifier_list_2(p): '''class_item_qualifier_list : class_item_qualifier ''' - print('class_item_qualifier_list', list(p)) + print('class_item_qualifier_list_2', list(p)) # { $$ = $1; } () def p_class_item_qualifier_opt_1(p): '''class_item_qualifier_opt : class_item_qualifier_list ''' - print('class_item_qualifier_opt', list(p)) + print('class_item_qualifier_opt_1', list(p)) # { $$ = $1; } () def p_class_item_qualifier_opt_2(p): '''class_item_qualifier_opt : ''' - print('class_item_qualifier_opt', list(p)) + print('class_item_qualifier_opt_2', list(p)) # { $$ = property_qualifier_t::make_none(); } () def p_class_new_1(p): '''class_new : K_new '(' expression_list_with_nuls ')' ''' - print('class_new', list(p)) + print('class_new_1', list(p)) # { list*expr_list = $3; # strip_tail_items(expr_list); # PENewClass*tmp = new PENewClass(*expr_list); @@ -366,7 +366,7 @@ def p_class_new_1(p): () def p_class_new_2(p): '''class_new : K_new hierarchy_identifier ''' - print('class_new', list(p)) + print('class_new_2', list(p)) # { PEIdent*tmpi = new PEIdent(*$2); # FILE_NAME(tmpi, @2); # PENewCopy*tmp = new PENewCopy(tmpi); @@ -377,7 +377,7 @@ def p_class_new_2(p): () def p_class_new_3(p): '''class_new : K_new ''' - print('class_new', list(p)) + print('class_new_3', list(p)) # { PENewClass*tmp = new PENewClass; # FILE_NAME(tmp, @1); # $$ = tmp; @@ -385,7 +385,7 @@ def p_class_new_3(p): () def p_concurrent_assertion_item_1(p): '''concurrent_assertion_item : block_identifier_opt K_assert K_property '(' property_spec ')' statement_or_null ''' - print('concurrent_assertion_item', list(p)) + print('concurrent_assertion_item_1', list(p)) # { /* */ # if (gn_assertions_flag) { # yyerror(@2, "sorry: concurrent_assertion_item not supported." @@ -395,89 +395,89 @@ def p_concurrent_assertion_item_1(p): () def p_concurrent_assertion_item_2(p): '''concurrent_assertion_item : block_identifier_opt K_assert K_property '(' error ')' statement_or_null ''' - print('concurrent_assertion_item', list(p)) + print('concurrent_assertion_item_2', list(p)) # { yyerrok; # yyerror(@2, "error: Error in property_spec of concurrent assertion item."); # } () def p_constraint_block_item_1(p): '''constraint_block_item : constraint_expression ''' - print('constraint_block_item', list(p)) + print('constraint_block_item_1', list(p)) () def p_constraint_block_item_list_1(p): '''constraint_block_item_list : constraint_block_item_list constraint_block_item ''' - print('constraint_block_item_list', list(p)) + print('constraint_block_item_list_1', list(p)) () def p_constraint_block_item_list_2(p): '''constraint_block_item_list : constraint_block_item ''' - print('constraint_block_item_list', list(p)) + print('constraint_block_item_list_2', list(p)) () def p_constraint_block_item_list_opt_1(p): '''constraint_block_item_list_opt : ''' - print('constraint_block_item_list_opt', list(p)) + print('constraint_block_item_list_opt_1', list(p)) () def p_constraint_block_item_list_opt_2(p): '''constraint_block_item_list_opt : constraint_block_item_list ''' - print('constraint_block_item_list_opt', list(p)) + print('constraint_block_item_list_opt_2', list(p)) () def p_constraint_declaration_1(p): '''constraint_declaration : K_static_opt K_constraint IDENTIFIER '{' constraint_block_item_list_opt '}' ''' - print('constraint_declaration', list(p)) + print('constraint_declaration_1', list(p)) # { yyerror(@2, "sorry: Constraint declarations not supported."); } () def p_constraint_declaration_2(p): '''constraint_declaration : K_static_opt K_constraint IDENTIFIER '{' error '}' ''' - print('constraint_declaration', list(p)) + print('constraint_declaration_2', list(p)) # { yyerror(@4, "error: Errors in the constraint block item list."); } () def p_constraint_expression_1(p): '''constraint_expression : expression ';' ''' - print('constraint_expression', list(p)) + print('constraint_expression_1', list(p)) () def p_constraint_expression_2(p): '''constraint_expression : expression K_dist '{' '}' ';' ''' - print('constraint_expression', list(p)) + print('constraint_expression_2', list(p)) () def p_constraint_expression_3(p): '''constraint_expression : expression K_TRIGGER constraint_set ''' - print('constraint_expression', list(p)) + print('constraint_expression_3', list(p)) () def p_constraint_expression_4(p): '''constraint_expression : K_if '(' expression ')' constraint_set %prec less_than_K_else ''' - print('constraint_expression', list(p)) + print('constraint_expression_4', list(p)) () def p_constraint_expression_5(p): '''constraint_expression : K_if '(' expression ')' constraint_set K_else constraint_set ''' - print('constraint_expression', list(p)) + print('constraint_expression_5', list(p)) () def p_constraint_expression_6(p): '''constraint_expression : K_foreach '(' IDENTIFIER '[' loop_variables ']' ')' constraint_set ''' - print('constraint_expression', list(p)) + print('constraint_expression_6', list(p)) () def p_constraint_expression_list_1(p): '''constraint_expression_list : constraint_expression_list constraint_expression ''' - print('constraint_expression_list', list(p)) + print('constraint_expression_list_1', list(p)) () def p_constraint_expression_list_2(p): '''constraint_expression_list : constraint_expression ''' - print('constraint_expression_list', list(p)) + print('constraint_expression_list_2', list(p)) () def p_constraint_prototype_1(p): '''constraint_prototype : K_static_opt K_constraint IDENTIFIER ';' ''' - print('constraint_prototype', list(p)) + print('constraint_prototype_1', list(p)) # { yyerror(@2, "sorry: Constraint prototypes not supported."); } () def p_constraint_set_1(p): '''constraint_set : constraint_expression ''' - print('constraint_set', list(p)) + print('constraint_set_1', list(p)) () def p_constraint_set_2(p): '''constraint_set : '{' constraint_expression_list '}' ''' - print('constraint_set', list(p)) + print('constraint_set_2', list(p)) () def p_data_declaration_1(p): '''data_declaration : attribute_list_opt data_type_or_implicit list_of_variable_decl_assignments ';' ''' - print('data_declaration', list(p)) + print('data_declaration_1', list(p)) # { data_type_t*data_type = $2; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -488,7 +488,7 @@ def p_data_declaration_1(p): () def p_data_type_1(p): '''data_type : integer_vector_type unsigned_signed_opt dimensions_opt ''' - print('data_type', list(p)) + print('data_type_1', list(p)) # { ivl_variable_type_t use_vtype = $1; # bool reg_flag = false; # if (use_vtype == IVL_VT_NO_TYPE) { @@ -503,7 +503,7 @@ def p_data_type_1(p): () def p_data_type_2(p): '''data_type : non_integer_type ''' - print('data_type', list(p)) + print('data_type_2', list(p)) # { real_type_t*tmp = new real_type_t($1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -511,7 +511,7 @@ def p_data_type_2(p): () def p_data_type_3(p): '''data_type : struct_data_type ''' - print('data_type', list(p)) + print('data_type_3', list(p)) # { if (!$1->packed_flag) { # yyerror(@1, "sorry: Unpacked structs not supported."); # } @@ -520,12 +520,12 @@ def p_data_type_3(p): () def p_data_type_4(p): '''data_type : enum_data_type ''' - print('data_type', list(p)) + print('data_type_4', list(p)) # { $$ = $1; } () def p_data_type_5(p): '''data_type : atom2_type signed_unsigned_opt ''' - print('data_type', list(p)) + print('data_type_5', list(p)) # { atom2_type_t*tmp = new atom2_type_t($1, $2); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -533,7 +533,7 @@ def p_data_type_5(p): () def p_data_type_6(p): '''data_type : K_integer signed_unsigned_opt ''' - print('data_type', list(p)) + print('data_type_6', list(p)) # { list*pd = make_range_from_width(integer_width); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, $2, pd); # tmp->reg_flag = true; @@ -543,7 +543,7 @@ def p_data_type_6(p): () def p_data_type_7(p): '''data_type : K_time ''' - print('data_type', list(p)) + print('data_type_7', list(p)) # { list*pd = make_range_from_width(64); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd); # tmp->reg_flag = !gn_system_verilog(); @@ -552,7 +552,7 @@ def p_data_type_7(p): () def p_data_type_8(p): '''data_type : TYPE_IDENTIFIER dimensions_opt ''' - print('data_type', list(p)) + print('data_type_8', list(p)) # { if ($2) { # parray_type_t*tmp = new parray_type_t($1.type, $2); # FILE_NAME(tmp, @1); @@ -563,7 +563,7 @@ def p_data_type_8(p): () def p_data_type_9(p): '''data_type : PACKAGE_IDENTIFIER K_SCOPE_RES _embed0_data_type TYPE_IDENTIFIER ''' - print('data_type', list(p)) + print('data_type_9', list(p)) # { lex_in_package_scope(0); # $$ = $4.type; # delete[]$4.text; @@ -571,7 +571,7 @@ def p_data_type_9(p): () def p_data_type_10(p): '''data_type : K_string ''' - print('data_type', list(p)) + print('data_type_10', list(p)) # { string_type_t*tmp = new string_type_t; # FILE_NAME(tmp, @1); # $$ = tmp; @@ -583,12 +583,12 @@ def p__embed0_data_type(p): () def p_data_type_or_implicit_1(p): '''data_type_or_implicit : data_type ''' - print('data_type_or_implicit', list(p)) + print('data_type_or_implicit_1', list(p)) # { $$ = $1; } () def p_data_type_or_implicit_2(p): '''data_type_or_implicit : signing dimensions_opt ''' - print('data_type_or_implicit', list(p)) + print('data_type_or_implicit_2', list(p)) # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, $1, $2); # tmp->implicit_flag = true; # FILE_NAME(tmp, @1); @@ -597,7 +597,7 @@ def p_data_type_or_implicit_2(p): () def p_data_type_or_implicit_3(p): '''data_type_or_implicit : dimensions ''' - print('data_type_or_implicit', list(p)) + print('data_type_or_implicit_3', list(p)) # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, $1); # tmp->implicit_flag = true; # FILE_NAME(tmp, @1); @@ -606,17 +606,17 @@ def p_data_type_or_implicit_3(p): () def p_data_type_or_implicit_4(p): '''data_type_or_implicit : ''' - print('data_type_or_implicit', list(p)) + print('data_type_or_implicit_4', list(p)) # { $$ = 0; } () def p_data_type_or_implicit_or_void_1(p): '''data_type_or_implicit_or_void : data_type_or_implicit ''' - print('data_type_or_implicit_or_void', list(p)) + print('data_type_or_implicit_or_void_1', list(p)) # { $$ = $1; } () def p_data_type_or_implicit_or_void_2(p): '''data_type_or_implicit_or_void : K_void ''' - print('data_type_or_implicit_or_void', list(p)) + print('data_type_or_implicit_or_void_2', list(p)) # { void_type_t*tmp = new void_type_t; # FILE_NAME(tmp, @1); # $$ = tmp; @@ -624,35 +624,35 @@ def p_data_type_or_implicit_or_void_2(p): () def p_description_1(p): '''description : module ''' - print('description', list(p)) + print('description_1', list(p)) () def p_description_2(p): '''description : udp_primitive ''' - print('description', list(p)) + print('description_2', list(p)) () def p_description_3(p): '''description : config_declaration ''' - print('description', list(p)) + print('description_3', list(p)) () def p_description_4(p): '''description : nature_declaration ''' - print('description', list(p)) + print('description_4', list(p)) () def p_description_5(p): '''description : package_declaration ''' - print('description', list(p)) + print('description_5', list(p)) () def p_description_6(p): '''description : discipline_declaration ''' - print('description', list(p)) + print('description_6', list(p)) () def p_description_7(p): '''description : package_item ''' - print('description', list(p)) + print('description_7', list(p)) () def p_description_8(p): '''description : KK_attribute '(' IDENTIFIER ',' STRING ',' STRING ')' ''' - print('description', list(p)) + print('description_8', list(p)) # { perm_string tmp3 = lex_strings.make($3); # pform_set_type_attrib(tmp3, $5, $7); # delete[] $3; @@ -661,37 +661,37 @@ def p_description_8(p): () def p_description_list_1(p): '''description_list : description ''' - print('description_list', list(p)) + print('description_list_1', list(p)) () def p_description_list_2(p): '''description_list : description_list description ''' - print('description_list', list(p)) + print('description_list_2', list(p)) () def p_endnew_opt_1(p): '''endnew_opt : ':' K_new ''' - print('endnew_opt', list(p)) + print('endnew_opt_1', list(p)) () def p_endnew_opt_2(p): '''endnew_opt : ''' - print('endnew_opt', list(p)) + print('endnew_opt_2', list(p)) () def p_dynamic_array_new_1(p): '''dynamic_array_new : K_new '[' expression ']' ''' - print('dynamic_array_new', list(p)) + print('dynamic_array_new_1', list(p)) # { $$ = new PENewArray($3, 0); # FILE_NAME($$, @1); # } () def p_dynamic_array_new_2(p): '''dynamic_array_new : K_new '[' expression ']' '(' expression ')' ''' - print('dynamic_array_new', list(p)) + print('dynamic_array_new_2', list(p)) # { $$ = new PENewArray($3, $6); # FILE_NAME($$, @1); # } () def p_for_step_1(p): '''for_step : lpvalue '=' expression ''' - print('for_step', list(p)) + print('for_step_1', list(p)) # { PAssign*tmp = new PAssign($1,$3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -699,17 +699,17 @@ def p_for_step_1(p): () def p_for_step_2(p): '''for_step : inc_or_dec_expression ''' - print('for_step', list(p)) + print('for_step_2', list(p)) # { $$ = pform_compressed_assign_from_inc_dec(@1, $1); } () def p_for_step_3(p): '''for_step : compressed_statement ''' - print('for_step', list(p)) + print('for_step_3', list(p)) # { $$ = $1; } () def p_function_declaration_1(p): '''function_declaration : K_function lifetime_opt data_type_or_implicit_or_void IDENTIFIER ';' _embed0_function_declaration function_item_list statement_or_null_list_opt K_endfunction _embed1_function_declaration endlabel_opt ''' - print('function_declaration', list(p)) + print('function_declaration_1', list(p)) # { // Last step: check any closing name. # if ($11) { # if (strcmp($4,$11) != 0) { @@ -727,7 +727,7 @@ def p_function_declaration_1(p): () def p_function_declaration_2(p): '''function_declaration : K_function lifetime_opt data_type_or_implicit_or_void IDENTIFIER _embed2_function_declaration '(' tf_port_list_opt ')' ';' block_item_decls_opt statement_or_null_list_opt K_endfunction _embed3_function_declaration endlabel_opt ''' - print('function_declaration', list(p)) + print('function_declaration_2', list(p)) # { // Last step: check any closing name. # if ($14) { # if (strcmp($4,$14) != 0) { @@ -745,7 +745,7 @@ def p_function_declaration_2(p): () def p_function_declaration_3(p): '''function_declaration : K_function lifetime_opt data_type_or_implicit_or_void IDENTIFIER error K_endfunction _embed4_function_declaration endlabel_opt ''' - print('function_declaration', list(p)) + print('function_declaration_3', list(p)) # { // Last step: check any closing name. # if ($8) { # if (strcmp($4,$8) != 0) { @@ -809,27 +809,27 @@ def p__embed4_function_declaration(p): () def p_import_export_1(p): '''import_export : K_import ''' - print('import_export', list(p)) + print('import_export_1', list(p)) # { $$ = true; } () def p_import_export_2(p): '''import_export : K_export ''' - print('import_export', list(p)) + print('import_export_2', list(p)) # { $$ = false; } () def p_implicit_class_handle_1(p): '''implicit_class_handle : K_this ''' - print('implicit_class_handle', list(p)) + print('implicit_class_handle_1', list(p)) # { $$ = pform_create_this(); } () def p_implicit_class_handle_2(p): '''implicit_class_handle : K_super ''' - print('implicit_class_handle', list(p)) + print('implicit_class_handle_2', list(p)) # { $$ = pform_create_super(); } () def p_inc_or_dec_expression_1(p): '''inc_or_dec_expression : K_INCR lpvalue %prec UNARY_PREC ''' - print('inc_or_dec_expression', list(p)) + print('inc_or_dec_expression_1', list(p)) # { PEUnary*tmp = new PEUnary('I', $2); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -837,7 +837,7 @@ def p_inc_or_dec_expression_1(p): () def p_inc_or_dec_expression_2(p): '''inc_or_dec_expression : lpvalue K_INCR %prec UNARY_PREC ''' - print('inc_or_dec_expression', list(p)) + print('inc_or_dec_expression_2', list(p)) # { PEUnary*tmp = new PEUnary('i', $1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -845,7 +845,7 @@ def p_inc_or_dec_expression_2(p): () def p_inc_or_dec_expression_3(p): '''inc_or_dec_expression : K_DECR lpvalue %prec UNARY_PREC ''' - print('inc_or_dec_expression', list(p)) + print('inc_or_dec_expression_3', list(p)) # { PEUnary*tmp = new PEUnary('D', $2); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -853,7 +853,7 @@ def p_inc_or_dec_expression_3(p): () def p_inc_or_dec_expression_4(p): '''inc_or_dec_expression : lpvalue K_DECR %prec UNARY_PREC ''' - print('inc_or_dec_expression', list(p)) + print('inc_or_dec_expression_4', list(p)) # { PEUnary*tmp = new PEUnary('d', $1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -861,56 +861,56 @@ def p_inc_or_dec_expression_4(p): () def p_inside_expression_1(p): '''inside_expression : expression K_inside '{' open_range_list '}' ''' - print('inside_expression', list(p)) + print('inside_expression_1', list(p)) # { yyerror(@2, "sorry: \"inside\" expressions not supported yet."); # $$ = 0; # } () def p_integer_vector_type_1(p): '''integer_vector_type : K_reg ''' - print('integer_vector_type', list(p)) + print('integer_vector_type_1', list(p)) # { $$ = IVL_VT_NO_TYPE; } () def p_integer_vector_type_2(p): '''integer_vector_type : K_bit ''' - print('integer_vector_type', list(p)) + print('integer_vector_type_2', list(p)) # { $$ = IVL_VT_BOOL; } () def p_integer_vector_type_3(p): '''integer_vector_type : K_logic ''' - print('integer_vector_type', list(p)) + print('integer_vector_type_3', list(p)) # { $$ = IVL_VT_LOGIC; } () def p_integer_vector_type_4(p): '''integer_vector_type : K_bool ''' - print('integer_vector_type', list(p)) + print('integer_vector_type_4', list(p)) # { $$ = IVL_VT_BOOL; } () def p_join_keyword_1(p): '''join_keyword : K_join ''' - print('join_keyword', list(p)) + print('join_keyword_1', list(p)) # { $$ = PBlock::BL_PAR; } () def p_join_keyword_2(p): '''join_keyword : K_join_none ''' - print('join_keyword', list(p)) + print('join_keyword_2', list(p)) # { $$ = PBlock::BL_JOIN_NONE; } () def p_join_keyword_3(p): '''join_keyword : K_join_any ''' - print('join_keyword', list(p)) + print('join_keyword_3', list(p)) # { $$ = PBlock::BL_JOIN_ANY; } () def p_jump_statement_1(p): '''jump_statement : K_break ';' ''' - print('jump_statement', list(p)) + print('jump_statement_1', list(p)) # { yyerror(@1, "sorry: break statements not supported."); # $$ = 0; # } () def p_jump_statement_2(p): '''jump_statement : K_return ';' ''' - print('jump_statement', list(p)) + print('jump_statement_2', list(p)) # { PReturn*tmp = new PReturn(0); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -918,7 +918,7 @@ def p_jump_statement_2(p): () def p_jump_statement_3(p): '''jump_statement : K_return expression ';' ''' - print('jump_statement', list(p)) + print('jump_statement_3', list(p)) # { PReturn*tmp = new PReturn($2); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -926,27 +926,27 @@ def p_jump_statement_3(p): () def p_lifetime_1(p): '''lifetime : K_automatic ''' - print('lifetime', list(p)) + print('lifetime_1', list(p)) # { $$ = LexicalScope::AUTOMATIC; } () def p_lifetime_2(p): '''lifetime : K_static ''' - print('lifetime', list(p)) + print('lifetime_2', list(p)) # { $$ = LexicalScope::STATIC; } () def p_lifetime_opt_1(p): '''lifetime_opt : lifetime ''' - print('lifetime_opt', list(p)) + print('lifetime_opt_1', list(p)) # { $$ = $1; } () def p_lifetime_opt_2(p): '''lifetime_opt : ''' - print('lifetime_opt', list(p)) + print('lifetime_opt_2', list(p)) # { $$ = LexicalScope::INHERITED; } () def p_loop_statement_1(p): '''loop_statement : K_for '(' lpvalue '=' expression ';' expression ';' for_step ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_1', list(p)) # { PForStatement*tmp = new PForStatement($3, $5, $7, $9, $11); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -954,7 +954,7 @@ def p_loop_statement_1(p): () def p_loop_statement_2(p): '''loop_statement : K_for '(' data_type IDENTIFIER '=' expression ';' expression ';' for_step ')' _embed0_loop_statement statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_2', list(p)) # { pform_name_t tmp_hident; # tmp_hident.push_back(name_component_t(lex_strings.make($4))); # @@ -976,7 +976,7 @@ def p_loop_statement_2(p): () def p_loop_statement_3(p): '''loop_statement : K_forever statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_3', list(p)) # { PForever*tmp = new PForever($2); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -984,7 +984,7 @@ def p_loop_statement_3(p): () def p_loop_statement_4(p): '''loop_statement : K_repeat '(' expression ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_4', list(p)) # { PRepeat*tmp = new PRepeat($3, $5); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -992,7 +992,7 @@ def p_loop_statement_4(p): () def p_loop_statement_5(p): '''loop_statement : K_while '(' expression ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_5', list(p)) # { PWhile*tmp = new PWhile($3, $5); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -1000,7 +1000,7 @@ def p_loop_statement_5(p): () def p_loop_statement_6(p): '''loop_statement : K_do statement_or_null K_while '(' expression ')' ';' ''' - print('loop_statement', list(p)) + print('loop_statement_6', list(p)) # { PDoWhile*tmp = new PDoWhile($5, $2); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -1008,7 +1008,7 @@ def p_loop_statement_6(p): () def p_loop_statement_7(p): '''loop_statement : K_foreach '(' IDENTIFIER '[' loop_variables ']' ')' _embed1_loop_statement statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_7', list(p)) # { PForeach*tmp_for = pform_make_foreach(@1, $3, $5, $9); # # pform_pop_scope(); @@ -1022,42 +1022,42 @@ def p_loop_statement_7(p): () def p_loop_statement_8(p): '''loop_statement : K_for '(' lpvalue '=' expression ';' expression ';' error ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_8', list(p)) # { $$ = 0; # yyerror(@1, "error: Error in for loop step assignment."); # } () def p_loop_statement_9(p): '''loop_statement : K_for '(' lpvalue '=' expression ';' error ';' for_step ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_9', list(p)) # { $$ = 0; # yyerror(@1, "error: Error in for loop condition expression."); # } () def p_loop_statement_10(p): '''loop_statement : K_for '(' error ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_10', list(p)) # { $$ = 0; # yyerror(@1, "error: Incomprehensible for loop."); # } () def p_loop_statement_11(p): '''loop_statement : K_while '(' error ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_11', list(p)) # { $$ = 0; # yyerror(@1, "error: Error in while loop condition."); # } () def p_loop_statement_12(p): '''loop_statement : K_do statement_or_null K_while '(' error ')' ';' ''' - print('loop_statement', list(p)) + print('loop_statement_12', list(p)) # { $$ = 0; # yyerror(@1, "error: Error in do/while loop condition."); # } () def p_loop_statement_13(p): '''loop_statement : K_foreach '(' IDENTIFIER '[' error ']' ')' statement_or_null ''' - print('loop_statement', list(p)) + print('loop_statement_13', list(p)) # { $$ = 0; # yyerror(@4, "error: Errors in foreach loop variables list."); # } @@ -1095,7 +1095,7 @@ def p__embed1_loop_statement(p): () def p_list_of_variable_decl_assignments_1(p): '''list_of_variable_decl_assignments : variable_decl_assignment ''' - print('list_of_variable_decl_assignments', list(p)) + print('list_of_variable_decl_assignments_1', list(p)) # { list*tmp = new list; # tmp->push_back($1); # $$ = tmp; @@ -1103,7 +1103,7 @@ def p_list_of_variable_decl_assignments_1(p): () def p_list_of_variable_decl_assignments_2(p): '''list_of_variable_decl_assignments : list_of_variable_decl_assignments ',' variable_decl_assignment ''' - print('list_of_variable_decl_assignments', list(p)) + print('list_of_variable_decl_assignments_2', list(p)) # { list*tmp = $1; # tmp->push_back($3); # $$ = tmp; @@ -1111,7 +1111,7 @@ def p_list_of_variable_decl_assignments_2(p): () def p_variable_decl_assignment_1(p): '''variable_decl_assignment : IDENTIFIER dimensions_opt ''' - print('variable_decl_assignment', list(p)) + print('variable_decl_assignment_1', list(p)) # { decl_assignment_t*tmp = new decl_assignment_t; # tmp->name = lex_strings.make($1); # if ($2) { @@ -1124,7 +1124,7 @@ def p_variable_decl_assignment_1(p): () def p_variable_decl_assignment_2(p): '''variable_decl_assignment : IDENTIFIER '=' expression ''' - print('variable_decl_assignment', list(p)) + print('variable_decl_assignment_2', list(p)) # { decl_assignment_t*tmp = new decl_assignment_t; # tmp->name = lex_strings.make($1); # tmp->expr .reset($3); @@ -1134,7 +1134,7 @@ def p_variable_decl_assignment_2(p): () def p_variable_decl_assignment_3(p): '''variable_decl_assignment : IDENTIFIER '=' K_new '(' ')' ''' - print('variable_decl_assignment', list(p)) + print('variable_decl_assignment_3', list(p)) # { decl_assignment_t*tmp = new decl_assignment_t; # tmp->name = lex_strings.make($1); # PENewClass*expr = new PENewClass; @@ -1146,7 +1146,7 @@ def p_variable_decl_assignment_3(p): () def p_loop_variables_1(p): '''loop_variables : loop_variables ',' IDENTIFIER ''' - print('loop_variables', list(p)) + print('loop_variables_1', list(p)) # { list*tmp = $1; # tmp->push_back(lex_strings.make($3)); # delete[]$3; @@ -1155,7 +1155,7 @@ def p_loop_variables_1(p): () def p_loop_variables_2(p): '''loop_variables : IDENTIFIER ''' - print('loop_variables', list(p)) + print('loop_variables_2', list(p)) # { list*tmp = new list; # tmp->push_back(lex_strings.make($1)); # delete[]$1; @@ -1164,23 +1164,23 @@ def p_loop_variables_2(p): () def p_method_qualifier_1(p): '''method_qualifier : K_virtual ''' - print('method_qualifier', list(p)) + print('method_qualifier_1', list(p)) () def p_method_qualifier_2(p): '''method_qualifier : class_item_qualifier ''' - print('method_qualifier', list(p)) + print('method_qualifier_2', list(p)) () def p_method_qualifier_opt_1(p): '''method_qualifier_opt : method_qualifier ''' - print('method_qualifier_opt', list(p)) + print('method_qualifier_opt_1', list(p)) () def p_method_qualifier_opt_2(p): '''method_qualifier_opt : ''' - print('method_qualifier_opt', list(p)) + print('method_qualifier_opt_2', list(p)) () def p_modport_declaration_1(p): '''modport_declaration : K_modport _embed0_modport_declaration modport_item_list ';' ''' - print('modport_declaration', list(p)) + print('modport_declaration_1', list(p)) () def p__embed0_modport_declaration(p): '''_embed0_modport_declaration : ''' @@ -1191,15 +1191,15 @@ def p__embed0_modport_declaration(p): () def p_modport_item_list_1(p): '''modport_item_list : modport_item ''' - print('modport_item_list', list(p)) + print('modport_item_list_1', list(p)) () def p_modport_item_list_2(p): '''modport_item_list : modport_item_list ',' modport_item ''' - print('modport_item_list', list(p)) + print('modport_item_list_2', list(p)) () def p_modport_item_1(p): '''modport_item : IDENTIFIER _embed0_modport_item '(' modport_ports_list ')' ''' - print('modport_item', list(p)) + print('modport_item_1', list(p)) # { pform_end_modport_item(@1); } () def p__embed0_modport_item(p): @@ -1208,15 +1208,15 @@ def p__embed0_modport_item(p): () def p_modport_ports_list_1(p): '''modport_ports_list : modport_ports_declaration ''' - print('modport_ports_list', list(p)) + print('modport_ports_list_1', list(p)) () def p_modport_ports_list_2(p): '''modport_ports_list : modport_ports_list ',' modport_ports_declaration ''' - print('modport_ports_list', list(p)) + print('modport_ports_list_2', list(p)) () def p_modport_ports_list_3(p): '''modport_ports_list : modport_ports_list ',' modport_simple_port ''' - print('modport_ports_list', list(p)) + print('modport_ports_list_3', list(p)) # { if (last_modport_port.type == MP_SIMPLE) { # pform_add_modport_port(@3, last_modport_port.direction, # $3->name, $3->parm); @@ -1228,14 +1228,14 @@ def p_modport_ports_list_3(p): () def p_modport_ports_list_4(p): '''modport_ports_list : modport_ports_list ',' modport_tf_port ''' - print('modport_ports_list', list(p)) + print('modport_ports_list_4', list(p)) # { if (last_modport_port.type != MP_TF) # yyerror(@3, "error: task/function declaration not allowed here."); # } () def p_modport_ports_list_5(p): '''modport_ports_list : modport_ports_list ',' IDENTIFIER ''' - print('modport_ports_list', list(p)) + print('modport_ports_list_5', list(p)) # { if (last_modport_port.type == MP_SIMPLE) { # pform_add_modport_port(@3, last_modport_port.direction, # lex_strings.make($3), 0); @@ -1247,12 +1247,12 @@ def p_modport_ports_list_5(p): () def p_modport_ports_list_6(p): '''modport_ports_list : modport_ports_list ',' ''' - print('modport_ports_list', list(p)) + print('modport_ports_list_6', list(p)) # { yyerror(@2, "error: NULL port declarations are not allowed"); } () def p_modport_ports_declaration_1(p): '''modport_ports_declaration : attribute_list_opt port_direction IDENTIFIER ''' - print('modport_ports_declaration', list(p)) + print('modport_ports_declaration_1', list(p)) # { last_modport_port.type = MP_SIMPLE; # last_modport_port.direction = $2; # pform_add_modport_port(@3, $2, lex_strings.make($3), 0); @@ -1262,7 +1262,7 @@ def p_modport_ports_declaration_1(p): () def p_modport_ports_declaration_2(p): '''modport_ports_declaration : attribute_list_opt port_direction modport_simple_port ''' - print('modport_ports_declaration', list(p)) + print('modport_ports_declaration_2', list(p)) # { last_modport_port.type = MP_SIMPLE; # last_modport_port.direction = $2; # pform_add_modport_port(@3, $2, $3->name, $3->parm); @@ -1272,7 +1272,7 @@ def p_modport_ports_declaration_2(p): () def p_modport_ports_declaration_3(p): '''modport_ports_declaration : attribute_list_opt import_export IDENTIFIER ''' - print('modport_ports_declaration', list(p)) + print('modport_ports_declaration_3', list(p)) # { last_modport_port.type = MP_TF; # last_modport_port.is_import = $2; # yyerror(@3, "sorry: modport task/function ports are not yet supported."); @@ -1282,7 +1282,7 @@ def p_modport_ports_declaration_3(p): () def p_modport_ports_declaration_4(p): '''modport_ports_declaration : attribute_list_opt import_export modport_tf_port ''' - print('modport_ports_declaration', list(p)) + print('modport_ports_declaration_4', list(p)) # { last_modport_port.type = MP_TF; # last_modport_port.is_import = $2; # yyerror(@3, "sorry: modport task/function ports are not yet supported."); @@ -1291,7 +1291,7 @@ def p_modport_ports_declaration_4(p): () def p_modport_ports_declaration_5(p): '''modport_ports_declaration : attribute_list_opt K_clocking IDENTIFIER ''' - print('modport_ports_declaration', list(p)) + print('modport_ports_declaration_5', list(p)) # { last_modport_port.type = MP_CLOCKING; # last_modport_port.direction = NetNet::NOT_A_PORT; # yyerror(@3, "sorry: modport clocking declaration is not yet supported."); @@ -1301,7 +1301,7 @@ def p_modport_ports_declaration_5(p): () def p_modport_simple_port_1(p): '''modport_simple_port : '.' IDENTIFIER '(' expression ')' ''' - print('modport_simple_port', list(p)) + print('modport_simple_port_1', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($2); # tmp->parm = $4; @@ -1311,43 +1311,43 @@ def p_modport_simple_port_1(p): () def p_modport_tf_port_1(p): '''modport_tf_port : K_task IDENTIFIER ''' - print('modport_tf_port', list(p)) + print('modport_tf_port_1', list(p)) () def p_modport_tf_port_2(p): '''modport_tf_port : K_task IDENTIFIER '(' tf_port_list_opt ')' ''' - print('modport_tf_port', list(p)) + print('modport_tf_port_2', list(p)) () def p_modport_tf_port_3(p): '''modport_tf_port : K_function data_type_or_implicit_or_void IDENTIFIER ''' - print('modport_tf_port', list(p)) + print('modport_tf_port_3', list(p)) () def p_modport_tf_port_4(p): '''modport_tf_port : K_function data_type_or_implicit_or_void IDENTIFIER '(' tf_port_list_opt ')' ''' - print('modport_tf_port', list(p)) + print('modport_tf_port_4', list(p)) () def p_non_integer_type_1(p): '''non_integer_type : K_real ''' - print('non_integer_type', list(p)) + print('non_integer_type_1', list(p)) # { $$ = real_type_t::REAL; } () def p_non_integer_type_2(p): '''non_integer_type : K_realtime ''' - print('non_integer_type', list(p)) + print('non_integer_type_2', list(p)) # { $$ = real_type_t::REAL; } () def p_non_integer_type_3(p): '''non_integer_type : K_shortreal ''' - print('non_integer_type', list(p)) + print('non_integer_type_3', list(p)) # { $$ = real_type_t::SHORTREAL; } () def p_number_1(p): '''number : BASED_NUMBER ''' - print('number1', list(p)) + print('number_1', list(p)) # { $$ = $1; based_size = 0;} () def p_number_2(p): '''number : DEC_NUMBER ''' - print('number2', list(p)) + print('number_2', list(p)) # { $$ = $1; based_size = 0;} () def p_number_3(p): @@ -1360,27 +1360,27 @@ def p_number_3(p): () def p_number_4(p): '''number : UNBASED_NUMBER ''' - print('number4', list(p)) + print('number_4', list(p)) # { $$ = $1; based_size = 0;} () def p_number_5(p): '''number : DEC_NUMBER UNBASED_NUMBER ''' - print('number5', list(p)) + print('number_5', list(p)) # { yyerror(@1, "error: Unbased SystemVerilog literal cannot have " # "a size."); # $$ = $1; based_size = 0;} () def p_open_range_list_1(p): '''open_range_list : open_range_list ',' value_range ''' - print('open_range_list', list(p)) + print('open_range_list_1', list(p)) () def p_open_range_list_2(p): '''open_range_list : value_range ''' - print('open_range_list', list(p)) + print('open_range_list_2', list(p)) () def p_package_declaration_1(p): '''package_declaration : K_package lifetime_opt IDENTIFIER ';' _embed0_package_declaration timeunits_declaration_opt _embed1_package_declaration package_item_list_opt K_endpackage endlabel_opt ''' - print('package_declaration', list(p)) + print('package_declaration_1', list(p)) # { pform_end_package_declaration(@1); # // If an end label is present make sure it match the package name. # if ($10) { @@ -1402,112 +1402,112 @@ def p__embed1_package_declaration(p): () def p_module_package_import_list_opt_1(p): '''module_package_import_list_opt : ''' - print('module_package_import_list_opt', list(p)) + print('module_package_import_list_opt_1', list(p)) () def p_module_package_import_list_opt_2(p): '''module_package_import_list_opt : package_import_list ''' - print('module_package_import_list_opt', list(p)) + print('module_package_import_list_opt_2', list(p)) () def p_package_import_list_1(p): '''package_import_list : package_import_declaration ''' - print('package_import_list', list(p)) + print('package_import_list_1', list(p)) () def p_package_import_list_2(p): '''package_import_list : package_import_list package_import_declaration ''' - print('package_import_list', list(p)) + print('package_import_list_2', list(p)) () def p_package_import_declaration_1(p): '''package_import_declaration : K_import package_import_item_list ';' ''' - print('package_import_declaration', list(p)) + print('package_import_declaration_1', list(p)) # { } () def p_package_import_item_1(p): '''package_import_item : PACKAGE_IDENTIFIER K_SCOPE_RES IDENTIFIER ''' - print('package_import_item', list(p)) + print('package_import_item_1', list(p)) # { pform_package_import(@2, $1, $3); # delete[]$3; # } () def p_package_import_item_2(p): '''package_import_item : PACKAGE_IDENTIFIER K_SCOPE_RES '*' ''' - print('package_import_item', list(p)) + print('package_import_item_2', list(p)) # { pform_package_import(@2, $1, 0); # } () def p_package_import_item_list_1(p): '''package_import_item_list : package_import_item_list ',' package_import_item ''' - print('package_import_item_list', list(p)) + print('package_import_item_list_1', list(p)) () def p_package_import_item_list_2(p): '''package_import_item_list : package_import_item ''' - print('package_import_item_list', list(p)) + print('package_import_item_list_2', list(p)) () def p_package_item_1(p): '''package_item : timeunits_declaration ''' - print('package_item', list(p)) + print('package_item_1', list(p)) () def p_package_item_2(p): '''package_item : K_parameter param_type parameter_assign_list ';' ''' - print('package_item', list(p)) + print('package_item_2', list(p)) () def p_package_item_3(p): '''package_item : K_localparam param_type localparam_assign_list ';' ''' - print('package_item', list(p)) + print('package_item_3', list(p)) () def p_package_item_4(p): '''package_item : type_declaration ''' - print('package_item', list(p)) + print('package_item_4', list(p)) () def p_package_item_5(p): '''package_item : function_declaration ''' - print('package_item', list(p)) + print('package_item_5', list(p)) () def p_package_item_6(p): '''package_item : task_declaration ''' - print('package_item', list(p)) + print('package_item_6', list(p)) () def p_package_item_7(p): '''package_item : data_declaration ''' - print('package_item', list(p)) + print('package_item_7', list(p)) () def p_package_item_8(p): '''package_item : class_declaration ''' - print('package_item', list(p)) + print('package_item_8', list(p)) () def p_package_item_list_1(p): '''package_item_list : package_item_list package_item ''' - print('package_item_list', list(p)) + print('package_item_list_1', list(p)) () def p_package_item_list_2(p): '''package_item_list : package_item ''' - print('package_item_list', list(p)) + print('package_item_list_2', list(p)) () def p_package_item_list_opt_1(p): '''package_item_list_opt : package_item_list ''' - print('package_item_list_opt', list(p)) + print('package_item_list_opt_1', list(p)) () def p_package_item_list_opt_2(p): '''package_item_list_opt : ''' - print('package_item_list_opt', list(p)) + print('package_item_list_opt_2', list(p)) () def p_port_direction_1(p): '''port_direction : K_input ''' - print('port_direction', list(p)) + print('port_direction_1', list(p)) # { $$ = NetNet::PINPUT; } () def p_port_direction_2(p): '''port_direction : K_output ''' - print('port_direction', list(p)) + print('port_direction_2', list(p)) # { $$ = NetNet::POUTPUT; } () def p_port_direction_3(p): '''port_direction : K_inout ''' - print('port_direction', list(p)) + print('port_direction_3', list(p)) # { $$ = NetNet::PINOUT; } () def p_port_direction_4(p): '''port_direction : K_ref ''' - print('port_direction', list(p)) + print('port_direction_4', list(p)) # { $$ = NetNet::PREF; # if (!gn_system_verilog()) { # yyerror(@1, "error: Reference ports (ref) require SystemVerilog."); @@ -1517,110 +1517,110 @@ def p_port_direction_4(p): () def p_port_direction_opt_1(p): '''port_direction_opt : port_direction ''' - print('port_direction_opt', list(p)) + print('port_direction_opt_1', list(p)) # { $$ = $1; } () def p_port_direction_opt_2(p): '''port_direction_opt : ''' - print('port_direction_opt', list(p)) + print('port_direction_opt_2', list(p)) # { $$ = NetNet::PIMPLICIT; } () def p_property_expr_1(p): '''property_expr : expression ''' - print('property_expr', list(p)) + print('property_expr_1', list(p)) () def p_procedural_assertion_statement_1(p): '''procedural_assertion_statement : K_assert '(' expression ')' statement %prec less_than_K_else ''' - print('procedural_assertion_statement', list(p)) + print('procedural_assertion_statement_1', list(p)) # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented."); # $$ = 0; # } () def p_procedural_assertion_statement_2(p): '''procedural_assertion_statement : K_assert '(' expression ')' K_else statement ''' - print('procedural_assertion_statement', list(p)) + print('procedural_assertion_statement_2', list(p)) # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented."); # $$ = 0; # } () def p_procedural_assertion_statement_3(p): '''procedural_assertion_statement : K_assert '(' expression ')' statement K_else statement ''' - print('procedural_assertion_statement', list(p)) + print('procedural_assertion_statement_3', list(p)) # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented."); # $$ = 0; # } () def p_property_qualifier_1(p): '''property_qualifier : class_item_qualifier ''' - print('property_qualifier', list(p)) + print('property_qualifier_1', list(p)) () def p_property_qualifier_2(p): '''property_qualifier : random_qualifier ''' - print('property_qualifier', list(p)) + print('property_qualifier_2', list(p)) () def p_property_qualifier_opt_1(p): '''property_qualifier_opt : property_qualifier_list ''' - print('property_qualifier_opt', list(p)) + print('property_qualifier_opt_1', list(p)) # { $$ = $1; } () def p_property_qualifier_opt_2(p): '''property_qualifier_opt : ''' - print('property_qualifier_opt', list(p)) + print('property_qualifier_opt_2', list(p)) # { $$ = property_qualifier_t::make_none(); } () def p_property_qualifier_list_1(p): '''property_qualifier_list : property_qualifier_list property_qualifier ''' - print('property_qualifier_list', list(p)) + print('property_qualifier_list_1', list(p)) # { $$ = $1 | $2; } () def p_property_qualifier_list_2(p): '''property_qualifier_list : property_qualifier ''' - print('property_qualifier_list', list(p)) + print('property_qualifier_list_2', list(p)) # { $$ = $1; } () def p_property_spec_1(p): '''property_spec : clocking_event_opt property_spec_disable_iff_opt property_expr ''' - print('property_spec', list(p)) + print('property_spec_1', list(p)) () def p_property_spec_disable_iff_opt_1(p): '''property_spec_disable_iff_opt : K_disable K_iff '(' expression ')' ''' - print('property_spec_disable_iff_opt', list(p)) + print('property_spec_disable_iff_opt_1', list(p)) () def p_property_spec_disable_iff_opt_2(p): '''property_spec_disable_iff_opt : ''' - print('property_spec_disable_iff_opt', list(p)) + print('property_spec_disable_iff_opt_2', list(p)) () def p_random_qualifier_1(p): '''random_qualifier : K_rand ''' - print('random_qualifier', list(p)) + print('random_qualifier_1', list(p)) # { $$ = property_qualifier_t::make_rand(); } () def p_random_qualifier_2(p): '''random_qualifier : K_randc ''' - print('random_qualifier', list(p)) + print('random_qualifier_2', list(p)) # { $$ = property_qualifier_t::make_randc(); } () def p_real_or_realtime_1(p): '''real_or_realtime : K_real ''' - print('real_or_realtime', list(p)) + print('real_or_realtime_1', list(p)) () def p_real_or_realtime_2(p): '''real_or_realtime : K_realtime ''' - print('real_or_realtime', list(p)) + print('real_or_realtime_2', list(p)) () def p_signing_1(p): '''signing : K_signed ''' - print('signing', list(p)) + print('signing_1', list(p)) # { $$ = true; } () def p_signing_2(p): '''signing : K_unsigned ''' - print('signing', list(p)) + print('signing_2', list(p)) # { $$ = false; } () def p_simple_type_or_string_1(p): '''simple_type_or_string : integer_vector_type ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_1', list(p)) # { ivl_variable_type_t use_vtype = $1; # bool reg_flag = false; # if (use_vtype == IVL_VT_NO_TYPE) { @@ -1635,7 +1635,7 @@ def p_simple_type_or_string_1(p): () def p_simple_type_or_string_2(p): '''simple_type_or_string : non_integer_type ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_2', list(p)) # { real_type_t*tmp = new real_type_t($1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -1643,7 +1643,7 @@ def p_simple_type_or_string_2(p): () def p_simple_type_or_string_3(p): '''simple_type_or_string : atom2_type ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_3', list(p)) # { atom2_type_t*tmp = new atom2_type_t($1, true); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -1651,7 +1651,7 @@ def p_simple_type_or_string_3(p): () def p_simple_type_or_string_4(p): '''simple_type_or_string : K_integer ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_4', list(p)) # { list*pd = make_range_from_width(integer_width); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, true, pd); # tmp->reg_flag = true; @@ -1661,7 +1661,7 @@ def p_simple_type_or_string_4(p): () def p_simple_type_or_string_5(p): '''simple_type_or_string : K_time ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_5', list(p)) # { list*pd = make_range_from_width(64); # vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd); # tmp->reg_flag = !gn_system_verilog(); @@ -1670,14 +1670,14 @@ def p_simple_type_or_string_5(p): () def p_simple_type_or_string_6(p): '''simple_type_or_string : TYPE_IDENTIFIER ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_6', list(p)) # { $$ = $1.type; # delete[]$1.text; # } () def p_simple_type_or_string_7(p): '''simple_type_or_string : PACKAGE_IDENTIFIER K_SCOPE_RES _embed0_simple_type_or_string TYPE_IDENTIFIER ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_7', list(p)) # { lex_in_package_scope(0); # $$ = $4.type; # delete[]$4.text; @@ -1685,7 +1685,7 @@ def p_simple_type_or_string_7(p): () def p_simple_type_or_string_8(p): '''simple_type_or_string : K_string ''' - print('simple_type_or_string', list(p)) + print('simple_type_or_string_8', list(p)) # { string_type_t*tmp = new string_type_t; # FILE_NAME(tmp, @1); # $$ = tmp; @@ -1697,44 +1697,44 @@ def p__embed0_simple_type_or_string(p): () def p_statement_1(p): '''statement : attribute_list_opt statement_item ''' - print('statement', list(p)) + print('statement_1', list(p)) # { pform_bind_attributes($2->attributes, $1); # $$ = $2; # } () def p_statement_or_null_1(p): '''statement_or_null : statement ''' - print('statement_or_null', list(p)) + print('statement_or_null_1', list(p)) # { $$ = $1; } () def p_statement_or_null_2(p): '''statement_or_null : attribute_list_opt ';' ''' - print('statement_or_null', list(p)) + print('statement_or_null_2', list(p)) # { $$ = 0; } () def p_stream_expression_1(p): '''stream_expression : expression ''' - print('stream_expression', list(p)) + print('stream_expression_1', list(p)) () def p_stream_expression_list_1(p): '''stream_expression_list : stream_expression_list ',' stream_expression ''' - print('stream_expression_list', list(p)) + print('stream_expression_list_1', list(p)) () def p_stream_expression_list_2(p): '''stream_expression_list : stream_expression ''' - print('stream_expression_list', list(p)) + print('stream_expression_list_2', list(p)) () def p_stream_operator_1(p): '''stream_operator : K_LS ''' - print('stream_operator', list(p)) + print('stream_operator_1', list(p)) () def p_stream_operator_2(p): '''stream_operator : K_RS ''' - print('stream_operator', list(p)) + print('stream_operator_2', list(p)) () def p_streaming_concatenation_1(p): '''streaming_concatenation : '{' stream_operator '{' stream_expression_list '}' '}' ''' - print('streaming_concatenation', list(p)) + print('streaming_concatenation_1', list(p)) # { /* streaming concatenation is a SystemVerilog thing. */ # if (gn_system_verilog()) { # yyerror(@2, "sorry: Streaming concatenation not supported."); @@ -1747,7 +1747,7 @@ def p_streaming_concatenation_1(p): () def p_task_declaration_1(p): '''task_declaration : K_task lifetime_opt IDENTIFIER ';' _embed0_task_declaration task_item_list_opt statement_or_null_list_opt K_endtask _embed1_task_declaration endlabel_opt ''' - print('task_declaration', list(p)) + print('task_declaration_1', list(p)) # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -1768,7 +1768,7 @@ def p_task_declaration_1(p): () def p_task_declaration_2(p): '''task_declaration : K_task lifetime_opt IDENTIFIER '(' _embed2_task_declaration tf_port_list ')' ';' block_item_decls_opt statement_or_null_list_opt K_endtask _embed3_task_declaration endlabel_opt ''' - print('task_declaration', list(p)) + print('task_declaration_2', list(p)) # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -1789,7 +1789,7 @@ def p_task_declaration_2(p): () def p_task_declaration_3(p): '''task_declaration : K_task lifetime_opt IDENTIFIER '(' ')' ';' _embed4_task_declaration block_item_decls_opt statement_or_null_list K_endtask _embed5_task_declaration endlabel_opt ''' - print('task_declaration', list(p)) + print('task_declaration_3', list(p)) # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -1810,7 +1810,7 @@ def p_task_declaration_3(p): () def p_task_declaration_4(p): '''task_declaration : K_task lifetime_opt IDENTIFIER error K_endtask _embed6_task_declaration endlabel_opt ''' - print('task_declaration', list(p)) + print('task_declaration_4', list(p)) # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -1898,7 +1898,7 @@ def p__embed6_task_declaration(p): () def p_tf_port_declaration_1(p): '''tf_port_declaration : port_direction K_reg_opt unsigned_signed_opt dimensions_opt list_of_identifiers ';' ''' - print('tf_port_declaration', list(p)) + print('tf_port_declaration_1', list(p)) # { vector*tmp = pform_make_task_ports(@1, $1, # $2 ? IVL_VT_LOGIC : # IVL_VT_NO_TYPE, @@ -1908,7 +1908,7 @@ def p_tf_port_declaration_1(p): () def p_tf_port_declaration_2(p): '''tf_port_declaration : port_direction K_integer list_of_identifiers ';' ''' - print('tf_port_declaration', list(p)) + print('tf_port_declaration_2', list(p)) # { list*range_stub = make_range_from_width(integer_width); # vector*tmp = pform_make_task_ports(@1, $1, IVL_VT_LOGIC, true, # range_stub, $3, true); @@ -1917,7 +1917,7 @@ def p_tf_port_declaration_2(p): () def p_tf_port_declaration_3(p): '''tf_port_declaration : port_direction K_time list_of_identifiers ';' ''' - print('tf_port_declaration', list(p)) + print('tf_port_declaration_3', list(p)) # { list*range_stub = make_range_from_width(64); # vector*tmp = pform_make_task_ports(@1, $1, IVL_VT_LOGIC, false, # range_stub, $3); @@ -1926,7 +1926,7 @@ def p_tf_port_declaration_3(p): () def p_tf_port_declaration_4(p): '''tf_port_declaration : port_direction real_or_realtime list_of_identifiers ';' ''' - print('tf_port_declaration', list(p)) + print('tf_port_declaration_4', list(p)) # { vector*tmp = pform_make_task_ports(@1, $1, IVL_VT_REAL, true, # 0, $3); # $$ = tmp; @@ -1934,7 +1934,7 @@ def p_tf_port_declaration_4(p): () def p_tf_port_declaration_5(p): '''tf_port_declaration : port_direction K_string list_of_identifiers ';' ''' - print('tf_port_declaration', list(p)) + print('tf_port_declaration_5', list(p)) # { vector*tmp = pform_make_task_ports(@1, $1, IVL_VT_STRING, true, # 0, $3); # $$ = tmp; @@ -1942,7 +1942,7 @@ def p_tf_port_declaration_5(p): () def p_tf_port_item_1(p): '''tf_port_item : port_direction_opt data_type_or_implicit IDENTIFIER dimensions_opt tf_port_item_expr_opt ''' - print('tf_port_item', list(p)) + print('tf_port_item_1', list(p)) # { vector*tmp; # NetNet::PortType use_port_type = $1; # if ((use_port_type == NetNet::PIMPLICIT) && (gn_system_verilog() || ($2 == 0))) @@ -1990,7 +1990,7 @@ def p_tf_port_item_1(p): () def p_tf_port_item_2(p): '''tf_port_item : port_direction_opt data_type_or_implicit IDENTIFIER error ''' - print('tf_port_item', list(p)) + print('tf_port_item_2', list(p)) # { yyerror(@3, "error: Error in task/function port item after port name %s.", $3); # yyerrok; # $$ = 0; @@ -1998,7 +1998,7 @@ def p_tf_port_item_2(p): () def p_tf_port_item_expr_opt_1(p): '''tf_port_item_expr_opt : '=' expression ''' - print('tf_port_item_expr_opt', list(p)) + print('tf_port_item_expr_opt_1', list(p)) # { if (! gn_system_verilog()) { # yyerror(@1, "error: Task/function default arguments require " # "SystemVerilog."); @@ -2008,12 +2008,12 @@ def p_tf_port_item_expr_opt_1(p): () def p_tf_port_item_expr_opt_2(p): '''tf_port_item_expr_opt : ''' - print('tf_port_item_expr_opt', list(p)) + print('tf_port_item_expr_opt_2', list(p)) # { $$ = 0; } () def p_tf_port_list_1(p): '''tf_port_list : _embed0_tf_port_list tf_port_item_list ''' - print('tf_port_list', list(p)) + print('tf_port_list_1', list(p)) # { $$ = $2; } () def p__embed0_tf_port_list(p): @@ -2024,7 +2024,7 @@ def p__embed0_tf_port_list(p): () def p_tf_port_item_list_1(p): '''tf_port_item_list : tf_port_item_list ',' tf_port_item ''' - print('tf_port_item_list', list(p)) + print('tf_port_item_list_1', list(p)) # { vector*tmp; # if ($1 && $3) { # size_t s1 = $1->size(); @@ -2043,38 +2043,38 @@ def p_tf_port_item_list_1(p): () def p_tf_port_item_list_2(p): '''tf_port_item_list : tf_port_item ''' - print('tf_port_item_list', list(p)) + print('tf_port_item_list_2', list(p)) # { $$ = $1; } () def p_tf_port_item_list_3(p): '''tf_port_item_list : error ',' tf_port_item ''' - print('tf_port_item_list', list(p)) + print('tf_port_item_list_3', list(p)) # { yyerror(@2, "error: Syntax error in task/function port declaration."); # $$ = $3; # } () def p_tf_port_item_list_4(p): '''tf_port_item_list : tf_port_item_list ',' ''' - print('tf_port_item_list', list(p)) + print('tf_port_item_list_4', list(p)) # { yyerror(@2, "error: NULL port declarations are not allowed."); # $$ = $1; # } () def p_tf_port_item_list_5(p): '''tf_port_item_list : tf_port_item_list ';' ''' - print('tf_port_item_list', list(p)) + print('tf_port_item_list_5', list(p)) # { yyerror(@2, "error: ';' is an invalid port declaration separator."); # $$ = $1; # } () def p_timeunits_declaration_1(p): '''timeunits_declaration : K_timeunit TIME_LITERAL ';' ''' - print('timeunits_declaration', list(p)) + print('timeunits_declaration_1', list(p)) # { pform_set_timeunit($2, allow_timeunit_decl); } () def p_timeunits_declaration_2(p): '''timeunits_declaration : K_timeunit TIME_LITERAL '/' TIME_LITERAL ';' ''' - print('timeunits_declaration', list(p)) + print('timeunits_declaration_2', list(p)) # { bool initial_decl = allow_timeunit_decl && allow_timeprec_decl; # pform_set_timeunit($2, initial_decl); # pform_set_timeprec($4, initial_decl); @@ -2082,34 +2082,34 @@ def p_timeunits_declaration_2(p): () def p_timeunits_declaration_3(p): '''timeunits_declaration : K_timeprecision TIME_LITERAL ';' ''' - print('timeunits_declaration', list(p)) + print('timeunits_declaration_3', list(p)) # { pform_set_timeprec($2, allow_timeprec_decl); } () def p_timeunits_declaration_opt_1(p): '''timeunits_declaration_opt : %prec no_timeunits_declaration ''' - print('timeunits_declaration_opt', list(p)) + print('timeunits_declaration_opt_1', list(p)) () def p_timeunits_declaration_opt_2(p): '''timeunits_declaration_opt : timeunits_declaration %prec one_timeunits_declaration ''' - print('timeunits_declaration_opt', list(p)) + print('timeunits_declaration_opt_2', list(p)) () def p_timeunits_declaration_opt_3(p): '''timeunits_declaration_opt : timeunits_declaration timeunits_declaration ''' - print('timeunits_declaration_opt', list(p)) + print('timeunits_declaration_opt_3', list(p)) () def p_value_range_1(p): '''value_range : expression ''' - print('value_range', list(p)) + print('value_range_1', list(p)) # { } () def p_value_range_2(p): '''value_range : '[' expression ':' expression ']' ''' - print('value_range', list(p)) + print('value_range_2', list(p)) # { } () def p_variable_dimension_1(p): '''variable_dimension : '[' expression ':' expression ']' ''' - print('variable_dimension', list(p)) + print('variable_dimension_1', list(p)) # { list *tmp = new list; # pform_range_t index ($2,$4); # tmp->push_back(index); @@ -2118,7 +2118,7 @@ def p_variable_dimension_1(p): () def p_variable_dimension_2(p): '''variable_dimension : '[' expression ']' ''' - print('variable_dimension', list(p)) + print('variable_dimension_2', list(p)) # { // SystemVerilog canonical range # if (!gn_system_verilog()) { # warn_count += 1; @@ -2135,7 +2135,7 @@ def p_variable_dimension_2(p): () def p_variable_dimension_3(p): '''variable_dimension : '[' ']' ''' - print('variable_dimension', list(p)) + print('variable_dimension_3', list(p)) # { list *tmp = new list; # pform_range_t index (0,0); # tmp->push_back(index); @@ -2144,7 +2144,7 @@ def p_variable_dimension_3(p): () def p_variable_dimension_4(p): '''variable_dimension : '[' '$' ']' ''' - print('variable_dimension', list(p)) + print('variable_dimension_4', list(p)) # { // SystemVerilog queue # list *tmp = new list; # pform_range_t index (new PENull,0); @@ -2157,7 +2157,7 @@ def p_variable_dimension_4(p): () def p_variable_lifetime_1(p): '''variable_lifetime : lifetime ''' - print('variable_lifetime', list(p)) + print('variable_lifetime_1', list(p)) # { if (!gn_system_verilog()) { # yyerror(@1, "error: overriding the default variable lifetime " # "requires SystemVerilog."); @@ -2170,32 +2170,32 @@ def p_variable_lifetime_1(p): () def p_attribute_list_opt_1(p): '''attribute_list_opt : attribute_instance_list ''' - print('attribute_list_opt', list(p)) + print('attribute_list_opt_1', list(p)) # { $$ = $1; } () def p_attribute_list_opt_2(p): '''attribute_list_opt : ''' - print('attribute_list_opt', list(p)) + print('attribute_list_opt_2', list(p)) # { $$ = 0; } () def p_attribute_instance_list_1(p): '''attribute_instance_list : K_PSTAR K_STARP ''' - print('attribute_instance_list', list(p)) + print('attribute_instance_list_1', list(p)) # { $$ = 0; } () def p_attribute_instance_list_2(p): '''attribute_instance_list : K_PSTAR attribute_list K_STARP ''' - print('attribute_instance_list', list(p)) + print('attribute_instance_list_2', list(p)) # { $$ = $2; } () def p_attribute_instance_list_3(p): '''attribute_instance_list : attribute_instance_list K_PSTAR K_STARP ''' - print('attribute_instance_list', list(p)) + print('attribute_instance_list_3', list(p)) # { $$ = $1; } () def p_attribute_instance_list_4(p): '''attribute_instance_list : attribute_instance_list K_PSTAR attribute_list K_STARP ''' - print('attribute_instance_list', list(p)) + print('attribute_instance_list_4', list(p)) # { list*tmp = $1; # if (tmp) { # tmp->splice(tmp->end(), *$3); @@ -2206,7 +2206,7 @@ def p_attribute_instance_list_4(p): () def p_attribute_list_1(p): '''attribute_list : attribute_list ',' attribute ''' - print('attribute_list', list(p)) + print('attribute_list_1', list(p)) # { list*tmp = $1; # tmp->push_back(*$3); # delete $3; @@ -2215,7 +2215,7 @@ def p_attribute_list_1(p): () def p_attribute_list_2(p): '''attribute_list : attribute ''' - print('attribute_list', list(p)) + print('attribute_list_2', list(p)) # { list*tmp = new list; # tmp->push_back(*$1); # delete $1; @@ -2224,7 +2224,7 @@ def p_attribute_list_2(p): () def p_attribute_1(p): '''attribute : IDENTIFIER ''' - print('attribute', list(p)) + print('attribute_1', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($1); # tmp->parm = 0; @@ -2234,7 +2234,7 @@ def p_attribute_1(p): () def p_attribute_2(p): '''attribute : IDENTIFIER '=' expression ''' - print('attribute', list(p)) + print('attribute_2', list(p)) # { PExpr*tmp = $3; # named_pexpr_t*tmp2 = new named_pexpr_t; # tmp2->name = lex_strings.make($1); @@ -2245,97 +2245,97 @@ def p_attribute_2(p): () def p_block_item_decl_1(p): '''block_item_decl : data_type register_variable_list ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_1', list(p)) # { if ($1) pform_set_data_type(@1, $1, $2, NetNet::REG, attributes_in_context); # } () def p_block_item_decl_2(p): '''block_item_decl : variable_lifetime data_type register_variable_list ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_2', list(p)) # { if ($2) pform_set_data_type(@2, $2, $3, NetNet::REG, attributes_in_context); # var_lifetime = LexicalScope::INHERITED; # } () def p_block_item_decl_3(p): '''block_item_decl : K_reg data_type register_variable_list ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_3', list(p)) # { if ($2) pform_set_data_type(@2, $2, $3, NetNet::REG, attributes_in_context); # } () def p_block_item_decl_4(p): '''block_item_decl : variable_lifetime K_reg data_type register_variable_list ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_4', list(p)) # { if ($3) pform_set_data_type(@3, $3, $4, NetNet::REG, attributes_in_context); # var_lifetime = LexicalScope::INHERITED; # } () def p_block_item_decl_5(p): '''block_item_decl : K_event event_variable_list ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_5', list(p)) # { if ($2) pform_make_events($2, @1.text, @1.first_line); # } () def p_block_item_decl_6(p): '''block_item_decl : K_parameter param_type parameter_assign_list ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_6', list(p)) () def p_block_item_decl_7(p): '''block_item_decl : K_localparam param_type localparam_assign_list ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_7', list(p)) () def p_block_item_decl_8(p): '''block_item_decl : type_declaration ''' - print('block_item_decl', list(p)) + print('block_item_decl_8', list(p)) () def p_block_item_decl_9(p): '''block_item_decl : K_integer error ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_9', list(p)) # { yyerror(@1, "error: syntax error in integer variable list."); # yyerrok; # } () def p_block_item_decl_10(p): '''block_item_decl : K_time error ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_10', list(p)) # { yyerror(@1, "error: syntax error in time variable list."); # yyerrok; # } () def p_block_item_decl_11(p): '''block_item_decl : K_parameter error ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_11', list(p)) # { yyerror(@1, "error: syntax error in parameter list."); # yyerrok; # } () def p_block_item_decl_12(p): '''block_item_decl : K_localparam error ';' ''' - print('block_item_decl', list(p)) + print('block_item_decl_12', list(p)) # { yyerror(@1, "error: syntax error localparam list."); # yyerrok; # } () def p_block_item_decls_1(p): '''block_item_decls : block_item_decl ''' - print('block_item_decls', list(p)) + print('block_item_decls_1', list(p)) () def p_block_item_decls_2(p): '''block_item_decls : block_item_decls block_item_decl ''' - print('block_item_decls', list(p)) + print('block_item_decls_2', list(p)) () def p_block_item_decls_opt_1(p): '''block_item_decls_opt : block_item_decls ''' - print('block_item_decls_opt', list(p)) + print('block_item_decls_opt_1', list(p)) # { $$ = true; } () def p_block_item_decls_opt_2(p): '''block_item_decls_opt : ''' - print('block_item_decls_opt', list(p)) + print('block_item_decls_opt_2', list(p)) # { $$ = false; } () def p_type_declaration_1(p): '''type_declaration : K_typedef data_type IDENTIFIER dimensions_opt ';' ''' - print('type_declaration', list(p)) + print('type_declaration_1', list(p)) # { perm_string name = lex_strings.make($3); # pform_set_typedef(name, $2, $4); # delete[]$3; @@ -2343,7 +2343,7 @@ def p_type_declaration_1(p): () def p_type_declaration_2(p): '''type_declaration : K_typedef data_type TYPE_IDENTIFIER ';' ''' - print('type_declaration', list(p)) + print('type_declaration_2', list(p)) # { perm_string name = lex_strings.make($3.text); # if (pform_test_type_identifier_local(name)) { # yyerror(@3, "error: Typedef identifier \"%s\" is already a type name.", $3.text); @@ -2356,7 +2356,7 @@ def p_type_declaration_2(p): () def p_type_declaration_3(p): '''type_declaration : K_typedef K_class IDENTIFIER ';' ''' - print('type_declaration', list(p)) + print('type_declaration_3', list(p)) # { // Create a synthetic typedef for the class name so that the # // lexor detects the name as a type. # perm_string name = lex_strings.make($3); @@ -2368,22 +2368,22 @@ def p_type_declaration_3(p): () def p_type_declaration_4(p): '''type_declaration : K_typedef K_enum IDENTIFIER ';' ''' - print('type_declaration', list(p)) + print('type_declaration_4', list(p)) # { yyerror(@1, "sorry: Enum forward declarations not supported yet."); } () def p_type_declaration_5(p): '''type_declaration : K_typedef K_struct IDENTIFIER ';' ''' - print('type_declaration', list(p)) + print('type_declaration_5', list(p)) # { yyerror(@1, "sorry: Struct forward declarations not supported yet."); } () def p_type_declaration_6(p): '''type_declaration : K_typedef K_union IDENTIFIER ';' ''' - print('type_declaration', list(p)) + print('type_declaration_6', list(p)) # { yyerror(@1, "sorry: Union forward declarations not supported yet."); } () def p_type_declaration_7(p): '''type_declaration : K_typedef IDENTIFIER ';' ''' - print('type_declaration', list(p)) + print('type_declaration_7', list(p)) # { // Create a synthetic typedef for the class name so that the # // lexor detects the name as a type. # perm_string name = lex_strings.make($2); @@ -2395,14 +2395,14 @@ def p_type_declaration_7(p): () def p_type_declaration_8(p): '''type_declaration : K_typedef error ';' ''' - print('type_declaration', list(p)) + print('type_declaration_8', list(p)) # { yyerror(@2, "error: Syntax error in typedef clause."); # yyerrok; # } () def p_enum_data_type_1(p): '''enum_data_type : K_enum '{' enum_name_list '}' ''' - print('enum_data_type', list(p)) + print('enum_data_type_1', list(p)) # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset($3); @@ -2415,7 +2415,7 @@ def p_enum_data_type_1(p): () def p_enum_data_type_2(p): '''enum_data_type : K_enum atom2_type signed_unsigned_opt '{' enum_name_list '}' ''' - print('enum_data_type', list(p)) + print('enum_data_type_2', list(p)) # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset($5); @@ -2428,7 +2428,7 @@ def p_enum_data_type_2(p): () def p_enum_data_type_3(p): '''enum_data_type : K_enum K_integer signed_unsigned_opt '{' enum_name_list '}' ''' - print('enum_data_type', list(p)) + print('enum_data_type_3', list(p)) # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset($5); @@ -2441,7 +2441,7 @@ def p_enum_data_type_3(p): () def p_enum_data_type_4(p): '''enum_data_type : K_enum K_logic unsigned_signed_opt dimensions_opt '{' enum_name_list '}' ''' - print('enum_data_type', list(p)) + print('enum_data_type_4', list(p)) # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset($6); @@ -2454,7 +2454,7 @@ def p_enum_data_type_4(p): () def p_enum_data_type_5(p): '''enum_data_type : K_enum K_reg unsigned_signed_opt dimensions_opt '{' enum_name_list '}' ''' - print('enum_data_type', list(p)) + print('enum_data_type_5', list(p)) # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset($6); @@ -2467,7 +2467,7 @@ def p_enum_data_type_5(p): () def p_enum_data_type_6(p): '''enum_data_type : K_enum K_bit unsigned_signed_opt dimensions_opt '{' enum_name_list '}' ''' - print('enum_data_type', list(p)) + print('enum_data_type_6', list(p)) # { enum_type_t*enum_type = new enum_type_t; # FILE_NAME(enum_type, @1); # enum_type->names .reset($6); @@ -2480,13 +2480,13 @@ def p_enum_data_type_6(p): () def p_enum_name_list_1(p): '''enum_name_list : enum_name ''' - print('enum_name_list', list(p)) + print('enum_name_list_1', list(p)) # { $$ = $1; # } () def p_enum_name_list_2(p): '''enum_name_list : enum_name_list ',' enum_name ''' - print('enum_name_list', list(p)) + print('enum_name_list_2', list(p)) # { list*lst = $1; # lst->splice(lst->end(), *$3); # delete $3; @@ -2495,13 +2495,13 @@ def p_enum_name_list_2(p): () def p_pos_neg_number_1(p): '''pos_neg_number : number ''' - print('pos_neg_number', list(p)) + print('pos_neg_number_1', list(p)) # { $$ = $1; # } () def p_pos_neg_number_2(p): '''pos_neg_number : '-' number ''' - print('pos_neg_number', list(p)) + print('pos_neg_number_2', list(p)) # { verinum tmp = -(*($2)); # *($2) = tmp; # $$ = $2; @@ -2509,7 +2509,7 @@ def p_pos_neg_number_2(p): () def p_enum_name_1(p): '''enum_name : IDENTIFIER ''' - print('enum_name', list(p)) + print('enum_name_1', list(p)) # { perm_string name = lex_strings.make($1); # delete[]$1; # $$ = make_named_number(name); @@ -2517,7 +2517,7 @@ def p_enum_name_1(p): () def p_enum_name_2(p): '''enum_name : IDENTIFIER '[' pos_neg_number ']' ''' - print('enum_name', list(p)) + print('enum_name_2', list(p)) # { perm_string name = lex_strings.make($1); # long count = check_enum_seq_value(@1, $3, false); # delete[]$1; @@ -2527,7 +2527,7 @@ def p_enum_name_2(p): () def p_enum_name_3(p): '''enum_name : IDENTIFIER '[' pos_neg_number ':' pos_neg_number ']' ''' - print('enum_name', list(p)) + print('enum_name_3', list(p)) # { perm_string name = lex_strings.make($1); # $$ = make_named_numbers(name, check_enum_seq_value(@1, $3, true), # check_enum_seq_value(@1, $5, true)); @@ -2538,7 +2538,7 @@ def p_enum_name_3(p): () def p_enum_name_4(p): '''enum_name : IDENTIFIER '=' expression ''' - print('enum_name', list(p)) + print('enum_name_4', list(p)) # { perm_string name = lex_strings.make($1); # delete[]$1; # $$ = make_named_number(name, $3); @@ -2546,7 +2546,7 @@ def p_enum_name_4(p): () def p_enum_name_5(p): '''enum_name : IDENTIFIER '[' pos_neg_number ']' '=' expression ''' - print('enum_name', list(p)) + print('enum_name_5', list(p)) # { perm_string name = lex_strings.make($1); # long count = check_enum_seq_value(@1, $3, false); # $$ = make_named_numbers(name, 0, count-1, $6); @@ -2556,7 +2556,7 @@ def p_enum_name_5(p): () def p_enum_name_6(p): '''enum_name : IDENTIFIER '[' pos_neg_number ':' pos_neg_number ']' '=' expression ''' - print('enum_name', list(p)) + print('enum_name_6', list(p)) # { perm_string name = lex_strings.make($1); # $$ = make_named_numbers(name, check_enum_seq_value(@1, $3, true), # check_enum_seq_value(@1, $5, true), $8); @@ -2567,7 +2567,7 @@ def p_enum_name_6(p): () def p_struct_data_type_1(p): '''struct_data_type : K_struct K_packed_opt '{' struct_union_member_list '}' ''' - print('struct_data_type', list(p)) + print('struct_data_type_1', list(p)) # { struct_type_t*tmp = new struct_type_t; # FILE_NAME(tmp, @1); # tmp->packed_flag = $2; @@ -2578,7 +2578,7 @@ def p_struct_data_type_1(p): () def p_struct_data_type_2(p): '''struct_data_type : K_union K_packed_opt '{' struct_union_member_list '}' ''' - print('struct_data_type', list(p)) + print('struct_data_type_2', list(p)) # { struct_type_t*tmp = new struct_type_t; # FILE_NAME(tmp, @1); # tmp->packed_flag = $2; @@ -2589,7 +2589,7 @@ def p_struct_data_type_2(p): () def p_struct_data_type_3(p): '''struct_data_type : K_struct K_packed_opt '{' error '}' ''' - print('struct_data_type', list(p)) + print('struct_data_type_3', list(p)) # { yyerror(@3, "error: Errors in struct member list."); # yyerrok; # struct_type_t*tmp = new struct_type_t; @@ -2601,7 +2601,7 @@ def p_struct_data_type_3(p): () def p_struct_data_type_4(p): '''struct_data_type : K_union K_packed_opt '{' error '}' ''' - print('struct_data_type', list(p)) + print('struct_data_type_4', list(p)) # { yyerror(@3, "error: Errors in union member list."); # yyerrok; # struct_type_t*tmp = new struct_type_t; @@ -2613,7 +2613,7 @@ def p_struct_data_type_4(p): () def p_struct_union_member_list_1(p): '''struct_union_member_list : struct_union_member_list struct_union_member ''' - print('struct_union_member_list', list(p)) + print('struct_union_member_list_1', list(p)) # { list*tmp = $1; # tmp->push_back($2); # $$ = tmp; @@ -2621,7 +2621,7 @@ def p_struct_union_member_list_1(p): () def p_struct_union_member_list_2(p): '''struct_union_member_list : struct_union_member ''' - print('struct_union_member_list', list(p)) + print('struct_union_member_list_2', list(p)) # { list*tmp = new list; # tmp->push_back($1); # $$ = tmp; @@ -2629,7 +2629,7 @@ def p_struct_union_member_list_2(p): () def p_struct_union_member_1(p): '''struct_union_member : attribute_list_opt data_type list_of_variable_decl_assignments ';' ''' - print('struct_union_member', list(p)) + print('struct_union_member_1', list(p)) # { struct_member_t*tmp = new struct_member_t; # FILE_NAME(tmp, @2); # tmp->type .reset($2); @@ -2639,7 +2639,7 @@ def p_struct_union_member_1(p): () def p_struct_union_member_2(p): '''struct_union_member : error ';' ''' - print('struct_union_member', list(p)) + print('struct_union_member_2', list(p)) # { yyerror(@2, "Error in struct/union member."); # yyerrok; # $$ = 0; @@ -2647,7 +2647,7 @@ def p_struct_union_member_2(p): () def p_case_item_1(p): '''case_item : expression_list_proper ':' statement_or_null ''' - print('case_item', list(p)) + print('case_item_1', list(p)) # { PCase::Item*tmp = new PCase::Item; # tmp->expr = *$1; # tmp->stat = $3; @@ -2657,7 +2657,7 @@ def p_case_item_1(p): () def p_case_item_2(p): '''case_item : K_default ':' statement_or_null ''' - print('case_item', list(p)) + print('case_item_2', list(p)) # { PCase::Item*tmp = new PCase::Item; # tmp->stat = $3; # $$ = tmp; @@ -2665,7 +2665,7 @@ def p_case_item_2(p): () def p_case_item_3(p): '''case_item : K_default statement_or_null ''' - print('case_item', list(p)) + print('case_item_3', list(p)) # { PCase::Item*tmp = new PCase::Item; # tmp->stat = $2; # $$ = tmp; @@ -2673,14 +2673,14 @@ def p_case_item_3(p): () def p_case_item_4(p): '''case_item : error ':' statement_or_null ''' - print('case_item', list(p)) + print('case_item_4', list(p)) # { yyerror(@2, "error: Incomprehensible case expression."); # yyerrok; # } () def p_case_items_1(p): '''case_items : case_items case_item ''' - print('case_items', list(p)) + print('case_items_1', list(p)) # { svector*tmp; # tmp = new svector(*$1, $2); # delete $1; @@ -2689,7 +2689,7 @@ def p_case_items_1(p): () def p_case_items_2(p): '''case_items : case_item ''' - print('case_items', list(p)) + print('case_items_2', list(p)) # { svector*tmp = new svector(1); # (*tmp)[0] = $1; # $$ = tmp; @@ -2697,49 +2697,49 @@ def p_case_items_2(p): () def p_charge_strength_1(p): '''charge_strength : '(' K_small ')' ''' - print('charge_strength', list(p)) + print('charge_strength_1', list(p)) () def p_charge_strength_2(p): '''charge_strength : '(' K_medium ')' ''' - print('charge_strength', list(p)) + print('charge_strength_2', list(p)) () def p_charge_strength_3(p): '''charge_strength : '(' K_large ')' ''' - print('charge_strength', list(p)) + print('charge_strength_3', list(p)) () def p_charge_strength_opt_1(p): '''charge_strength_opt : charge_strength ''' - print('charge_strength_opt', list(p)) + print('charge_strength_opt_1', list(p)) () def p_charge_strength_opt_2(p): '''charge_strength_opt : ''' - print('charge_strength_opt', list(p)) + print('charge_strength_opt_2', list(p)) () def p_defparam_assign_1(p): '''defparam_assign : hierarchy_identifier '=' expression ''' - print('defparam_assign', list(p)) + print('defparam_assign_1', list(p)) # { pform_set_defparam(*$1, $3); # delete $1; # } () def p_defparam_assign_list_1(p): '''defparam_assign_list : defparam_assign ''' - print('defparam_assign_list', list(p)) + print('defparam_assign_list_1', list(p)) () def p_defparam_assign_list_2(p): '''defparam_assign_list : dimensions defparam_assign ''' - print('defparam_assign_list', list(p)) + print('defparam_assign_list_2', list(p)) # { yyerror(@1, "error: defparam may not include a range."); # delete $1; # } () def p_defparam_assign_list_3(p): '''defparam_assign_list : defparam_assign_list ',' defparam_assign ''' - print('defparam_assign_list', list(p)) + print('defparam_assign_list_3', list(p)) () def p_delay1_1(p): '''delay1 : '#' delay_value_simple ''' - print('delay1', list(p)) + print('delay1_1', list(p)) # { list*tmp = new list; # tmp->push_back($2); # $$ = tmp; @@ -2747,7 +2747,7 @@ def p_delay1_1(p): () def p_delay1_2(p): '''delay1 : '#' '(' delay_value ')' ''' - print('delay1', list(p)) + print('delay1_2', list(p)) # { list*tmp = new list; # tmp->push_back($3); # $$ = tmp; @@ -2755,7 +2755,7 @@ def p_delay1_2(p): () def p_delay3_1(p): '''delay3 : '#' delay_value_simple ''' - print('delay3', list(p)) + print('delay3_1', list(p)) # { list*tmp = new list; # tmp->push_back($2); # $$ = tmp; @@ -2763,7 +2763,7 @@ def p_delay3_1(p): () def p_delay3_2(p): '''delay3 : '#' '(' delay_value ')' ''' - print('delay3', list(p)) + print('delay3_2', list(p)) # { list*tmp = new list; # tmp->push_back($3); # $$ = tmp; @@ -2771,7 +2771,7 @@ def p_delay3_2(p): () def p_delay3_3(p): '''delay3 : '#' '(' delay_value ',' delay_value ')' ''' - print('delay3', list(p)) + print('delay3_3', list(p)) # { list*tmp = new list; # tmp->push_back($3); # tmp->push_back($5); @@ -2780,7 +2780,7 @@ def p_delay3_3(p): () def p_delay3_4(p): '''delay3 : '#' '(' delay_value ',' delay_value ',' delay_value ')' ''' - print('delay3', list(p)) + print('delay3_4', list(p)) # { list*tmp = new list; # tmp->push_back($3); # tmp->push_back($5); @@ -2790,17 +2790,17 @@ def p_delay3_4(p): () def p_delay3_opt_1(p): '''delay3_opt : delay3 ''' - print('delay3_opt', list(p)) + print('delay3_opt_1', list(p)) # { $$ = $1; } () def p_delay3_opt_2(p): '''delay3_opt : ''' - print('delay3_opt', list(p)) + print('delay3_opt_2', list(p)) # { $$ = 0; } () def p_delay_value_list_1(p): '''delay_value_list : delay_value ''' - print('delay_value_list', list(p)) + print('delay_value_list_1', list(p)) # { list*tmp = new list; # tmp->push_back($1); # $$ = tmp; @@ -2808,7 +2808,7 @@ def p_delay_value_list_1(p): () def p_delay_value_list_2(p): '''delay_value_list : delay_value_list ',' delay_value ''' - print('delay_value_list', list(p)) + print('delay_value_list_2', list(p)) # { list*tmp = $1; # tmp->push_back($3); # $$ = tmp; @@ -2816,19 +2816,19 @@ def p_delay_value_list_2(p): () def p_delay_value_1(p): '''delay_value : expression ''' - print('delay_value', list(p)) + print('delay_value_1', list(p)) # { PExpr*tmp = $1; # $$ = tmp; # } () def p_delay_value_2(p): '''delay_value : expression ':' expression ':' expression ''' - print('delay_value', list(p)) + print('delay_value_2', list(p)) # { $$ = pform_select_mtm_expr($1, $3, $5); } () def p_delay_value_simple_1(p): '''delay_value_simple : DEC_NUMBER ''' - print('delay_value_simple', list(p)) + print('delay_value_simple_1', list(p)) # { verinum*tmp = $1; # if (tmp == 0) { # yyerror(@1, "internal error: delay."); @@ -2842,7 +2842,7 @@ def p_delay_value_simple_1(p): () def p_delay_value_simple_2(p): '''delay_value_simple : REALTIME ''' - print('delay_value_simple', list(p)) + print('delay_value_simple_2', list(p)) # { verireal*tmp = $1; # if (tmp == 0) { # yyerror(@1, "internal error: delay."); @@ -2855,7 +2855,7 @@ def p_delay_value_simple_2(p): () def p_delay_value_simple_3(p): '''delay_value_simple : IDENTIFIER ''' - print('delay_value_simple', list(p)) + print('delay_value_simple_3', list(p)) # { PEIdent*tmp = new PEIdent(lex_strings.make($1)); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -2864,7 +2864,7 @@ def p_delay_value_simple_3(p): () def p_delay_value_simple_4(p): '''delay_value_simple : TIME_LITERAL ''' - print('delay_value_simple', list(p)) + print('delay_value_simple_4', list(p)) # { int unit; # # based_size = 0; @@ -2884,15 +2884,15 @@ def p_delay_value_simple_4(p): () def p_optional_semicolon_1(p): '''optional_semicolon : ';' ''' - print('optional_semicolon', list(p)) + print('optional_semicolon_1', list(p)) () def p_optional_semicolon_2(p): '''optional_semicolon : ''' - print('optional_semicolon', list(p)) + print('optional_semicolon_2', list(p)) () def p_discipline_declaration_1(p): '''discipline_declaration : K_discipline IDENTIFIER optional_semicolon _embed0_discipline_declaration discipline_items K_enddiscipline ''' - print('discipline_declaration', list(p)) + print('discipline_declaration_1', list(p)) # { pform_end_discipline(@1); delete[] $2; } () def p__embed0_discipline_declaration(p): @@ -2901,35 +2901,35 @@ def p__embed0_discipline_declaration(p): () def p_discipline_items_1(p): '''discipline_items : discipline_items discipline_item ''' - print('discipline_items', list(p)) + print('discipline_items_1', list(p)) () def p_discipline_items_2(p): '''discipline_items : discipline_item ''' - print('discipline_items', list(p)) + print('discipline_items_2', list(p)) () def p_discipline_item_1(p): '''discipline_item : K_domain K_discrete ';' ''' - print('discipline_item', list(p)) + print('discipline_item_1', list(p)) # { pform_discipline_domain(@1, IVL_DIS_DISCRETE); } () def p_discipline_item_2(p): '''discipline_item : K_domain K_continuous ';' ''' - print('discipline_item', list(p)) + print('discipline_item_2', list(p)) # { pform_discipline_domain(@1, IVL_DIS_CONTINUOUS); } () def p_discipline_item_3(p): '''discipline_item : K_potential IDENTIFIER ';' ''' - print('discipline_item', list(p)) + print('discipline_item_3', list(p)) # { pform_discipline_potential(@1, $2); delete[] $2; } () def p_discipline_item_4(p): '''discipline_item : K_flow IDENTIFIER ';' ''' - print('discipline_item', list(p)) + print('discipline_item_4', list(p)) # { pform_discipline_flow(@1, $2); delete[] $2; } () def p_nature_declaration_1(p): '''nature_declaration : K_nature IDENTIFIER optional_semicolon _embed0_nature_declaration nature_items K_endnature ''' - print('nature_declaration', list(p)) + print('nature_declaration_1', list(p)) # { pform_end_nature(@1); delete[] $2; } () def p__embed0_nature_declaration(p): @@ -2938,39 +2938,39 @@ def p__embed0_nature_declaration(p): () def p_nature_items_1(p): '''nature_items : nature_items nature_item ''' - print('nature_items', list(p)) + print('nature_items_1', list(p)) () def p_nature_items_2(p): '''nature_items : nature_item ''' - print('nature_items', list(p)) + print('nature_items_2', list(p)) () def p_nature_item_1(p): '''nature_item : K_units '=' STRING ';' ''' - print('nature_item', list(p)) + print('nature_item_1', list(p)) # { delete[] $3; } () def p_nature_item_2(p): '''nature_item : K_abstol '=' expression ';' ''' - print('nature_item', list(p)) + print('nature_item_2', list(p)) () def p_nature_item_3(p): '''nature_item : K_access '=' IDENTIFIER ';' ''' - print('nature_item', list(p)) + print('nature_item_3', list(p)) # { pform_nature_access(@1, $3); delete[] $3; } () def p_nature_item_4(p): '''nature_item : K_idt_nature '=' IDENTIFIER ';' ''' - print('nature_item', list(p)) + print('nature_item_4', list(p)) # { delete[] $3; } () def p_nature_item_5(p): '''nature_item : K_ddt_nature '=' IDENTIFIER ';' ''' - print('nature_item', list(p)) + print('nature_item_5', list(p)) # { delete[] $3; } () def p_config_declaration_1(p): '''config_declaration : K_config IDENTIFIER ';' K_design lib_cell_identifiers ';' list_of_config_rule_statements K_endconfig ''' - print('config_declaration', list(p)) + print('config_declaration_1', list(p)) # { cerr << @1 << ": sorry: config declarations are not supported and " # "will be skipped." << endl; # delete[] $2; @@ -2978,172 +2978,172 @@ def p_config_declaration_1(p): () def p_lib_cell_identifiers_1(p): '''lib_cell_identifiers : ''' - print('lib_cell_identifiers', list(p)) + print('lib_cell_identifiers_1', list(p)) () def p_lib_cell_identifiers_2(p): '''lib_cell_identifiers : lib_cell_identifiers lib_cell_id ''' - print('lib_cell_identifiers', list(p)) + print('lib_cell_identifiers_2', list(p)) () def p_list_of_config_rule_statements_1(p): '''list_of_config_rule_statements : ''' - print('list_of_config_rule_statements', list(p)) + print('list_of_config_rule_statements_1', list(p)) () def p_list_of_config_rule_statements_2(p): '''list_of_config_rule_statements : list_of_config_rule_statements config_rule_statement ''' - print('list_of_config_rule_statements', list(p)) + print('list_of_config_rule_statements_2', list(p)) () def p_config_rule_statement_1(p): '''config_rule_statement : K_default K_liblist list_of_libraries ';' ''' - print('config_rule_statement', list(p)) + print('config_rule_statement_1', list(p)) () def p_config_rule_statement_2(p): '''config_rule_statement : K_instance hierarchy_identifier K_liblist list_of_libraries ';' ''' - print('config_rule_statement', list(p)) + print('config_rule_statement_2', list(p)) # { delete $2; } () def p_config_rule_statement_3(p): '''config_rule_statement : K_instance hierarchy_identifier K_use lib_cell_id opt_config ';' ''' - print('config_rule_statement', list(p)) + print('config_rule_statement_3', list(p)) # { delete $2; } () def p_config_rule_statement_4(p): '''config_rule_statement : K_cell lib_cell_id K_liblist list_of_libraries ';' ''' - print('config_rule_statement', list(p)) + print('config_rule_statement_4', list(p)) () def p_config_rule_statement_5(p): '''config_rule_statement : K_cell lib_cell_id K_use lib_cell_id opt_config ';' ''' - print('config_rule_statement', list(p)) + print('config_rule_statement_5', list(p)) () def p_opt_config_1(p): '''opt_config : ''' - print('opt_config', list(p)) + print('opt_config_1', list(p)) () def p_opt_config_2(p): '''opt_config : ':' K_config ''' - print('opt_config', list(p)) + print('opt_config_2', list(p)) () def p_lib_cell_id_1(p): '''lib_cell_id : IDENTIFIER ''' - print('lib_cell_id', list(p)) + print('lib_cell_id_1', list(p)) # { delete[] $1; } () def p_lib_cell_id_2(p): '''lib_cell_id : IDENTIFIER '.' IDENTIFIER ''' - print('lib_cell_id', list(p)) + print('lib_cell_id_2', list(p)) # { delete[] $1; delete[] $3; } () def p_list_of_libraries_1(p): '''list_of_libraries : ''' - print('list_of_libraries', list(p)) + print('list_of_libraries_1', list(p)) () def p_list_of_libraries_2(p): '''list_of_libraries : list_of_libraries IDENTIFIER ''' - print('list_of_libraries', list(p)) + print('list_of_libraries_2', list(p)) # { delete[] $2; } () def p_drive_strength_1(p): '''drive_strength : '(' dr_strength0 ',' dr_strength1 ')' ''' - print('drive_strength', list(p)) + print('drive_strength_1', list(p)) # { $$.str0 = $2.str0; # $$.str1 = $4.str1; # } () def p_drive_strength_2(p): '''drive_strength : '(' dr_strength1 ',' dr_strength0 ')' ''' - print('drive_strength', list(p)) + print('drive_strength_2', list(p)) # { $$.str0 = $4.str0; # $$.str1 = $2.str1; # } () def p_drive_strength_3(p): '''drive_strength : '(' dr_strength0 ',' K_highz1 ')' ''' - print('drive_strength', list(p)) + print('drive_strength_3', list(p)) # { $$.str0 = $2.str0; # $$.str1 = IVL_DR_HiZ; # } () def p_drive_strength_4(p): '''drive_strength : '(' dr_strength1 ',' K_highz0 ')' ''' - print('drive_strength', list(p)) + print('drive_strength_4', list(p)) # { $$.str0 = IVL_DR_HiZ; # $$.str1 = $2.str1; # } () def p_drive_strength_5(p): '''drive_strength : '(' K_highz1 ',' dr_strength0 ')' ''' - print('drive_strength', list(p)) + print('drive_strength_5', list(p)) # { $$.str0 = $4.str0; # $$.str1 = IVL_DR_HiZ; # } () def p_drive_strength_6(p): '''drive_strength : '(' K_highz0 ',' dr_strength1 ')' ''' - print('drive_strength', list(p)) + print('drive_strength_6', list(p)) # { $$.str0 = IVL_DR_HiZ; # $$.str1 = $4.str1; # } () def p_drive_strength_opt_1(p): '''drive_strength_opt : drive_strength ''' - print('drive_strength_opt', list(p)) + print('drive_strength_opt_1', list(p)) # { $$ = $1; } () def p_drive_strength_opt_2(p): '''drive_strength_opt : ''' - print('drive_strength_opt', list(p)) + print('drive_strength_opt_2', list(p)) # { $$.str0 = IVL_DR_STRONG; $$.str1 = IVL_DR_STRONG; } () def p_dr_strength0_1(p): '''dr_strength0 : K_supply0 ''' - print('dr_strength0', list(p)) + print('dr_strength0_1', list(p)) # { $$.str0 = IVL_DR_SUPPLY; } () def p_dr_strength0_2(p): '''dr_strength0 : K_strong0 ''' - print('dr_strength0', list(p)) + print('dr_strength0_2', list(p)) # { $$.str0 = IVL_DR_STRONG; } () def p_dr_strength0_3(p): '''dr_strength0 : K_pull0 ''' - print('dr_strength0', list(p)) + print('dr_strength0_3', list(p)) # { $$.str0 = IVL_DR_PULL; } () def p_dr_strength0_4(p): '''dr_strength0 : K_weak0 ''' - print('dr_strength0', list(p)) + print('dr_strength0_4', list(p)) # { $$.str0 = IVL_DR_WEAK; } () def p_dr_strength1_1(p): '''dr_strength1 : K_supply1 ''' - print('dr_strength1', list(p)) + print('dr_strength1_1', list(p)) # { $$.str1 = IVL_DR_SUPPLY; } () def p_dr_strength1_2(p): '''dr_strength1 : K_strong1 ''' - print('dr_strength1', list(p)) + print('dr_strength1_2', list(p)) # { $$.str1 = IVL_DR_STRONG; } () def p_dr_strength1_3(p): '''dr_strength1 : K_pull1 ''' - print('dr_strength1', list(p)) + print('dr_strength1_3', list(p)) # { $$.str1 = IVL_DR_PULL; } () def p_dr_strength1_4(p): '''dr_strength1 : K_weak1 ''' - print('dr_strength1', list(p)) + print('dr_strength1_4', list(p)) # { $$.str1 = IVL_DR_WEAK; } () def p_clocking_event_opt_1(p): '''clocking_event_opt : event_control ''' - print('clocking_event_opt', list(p)) + print('clocking_event_opt_1', list(p)) () def p_clocking_event_opt_2(p): '''clocking_event_opt : ''' - print('clocking_event_opt', list(p)) + print('clocking_event_opt_2', list(p)) () def p_event_control_1(p): '''event_control : '@' hierarchy_identifier ''' - print('event_control', list(p)) + print('event_control_1', list(p)) # { PEIdent*tmpi = new PEIdent(*$2); # PEEvent*tmpe = new PEEvent(PEEvent::ANYEDGE, tmpi); # PEventStatement*tmps = new PEventStatement(tmpe); @@ -3154,7 +3154,7 @@ def p_event_control_1(p): () def p_event_control_2(p): '''event_control : '@' '(' event_expression_list ')' ''' - print('event_control', list(p)) + print('event_control_2', list(p)) # { PEventStatement*tmp = new PEventStatement(*$3); # FILE_NAME(tmp, @1); # delete $3; @@ -3163,19 +3163,19 @@ def p_event_control_2(p): () def p_event_control_3(p): '''event_control : '@' '(' error ')' ''' - print('event_control', list(p)) + print('event_control_3', list(p)) # { yyerror(@1, "error: Malformed event control expression."); # $$ = 0; # } () def p_event_expression_list_1(p): '''event_expression_list : event_expression ''' - print('event_expression_list', list(p)) + print('event_expression_list_1', list(p)) # { $$ = $1; } () def p_event_expression_list_2(p): '''event_expression_list : event_expression_list K_or event_expression ''' - print('event_expression_list', list(p)) + print('event_expression_list_2', list(p)) # { svector*tmp = new svector(*$1, *$3); # delete $1; # delete $3; @@ -3184,7 +3184,7 @@ def p_event_expression_list_2(p): () def p_event_expression_list_3(p): '''event_expression_list : event_expression_list ',' event_expression ''' - print('event_expression_list', list(p)) + print('event_expression_list_3', list(p)) # { svector*tmp = new svector(*$1, *$3); # delete $1; # delete $3; @@ -3193,7 +3193,7 @@ def p_event_expression_list_3(p): () def p_event_expression_1(p): '''event_expression : K_posedge expression ''' - print('event_expression', list(p)) + print('event_expression_1', list(p)) # { PEEvent*tmp = new PEEvent(PEEvent::POSEDGE, $2); # FILE_NAME(tmp, @1); # svector*tl = new svector(1); @@ -3203,7 +3203,7 @@ def p_event_expression_1(p): () def p_event_expression_2(p): '''event_expression : K_negedge expression ''' - print('event_expression', list(p)) + print('event_expression_2', list(p)) # { PEEvent*tmp = new PEEvent(PEEvent::NEGEDGE, $2); # FILE_NAME(tmp, @1); # svector*tl = new svector(1); @@ -3213,7 +3213,7 @@ def p_event_expression_2(p): () def p_event_expression_3(p): '''event_expression : expression ''' - print('event_expression', list(p)) + print('event_expression_3', list(p)) # { PEEvent*tmp = new PEEvent(PEEvent::ANYEDGE, $1); # FILE_NAME(tmp, @1); # svector*tl = new svector(1); @@ -3223,38 +3223,37 @@ def p_event_expression_3(p): () def p_branch_probe_expression_1(p): '''branch_probe_expression : IDENTIFIER '(' IDENTIFIER ',' IDENTIFIER ')' ''' - print('branch_probe_expression', list(p)) + print('branch_probe_expression_1', list(p)) # { $$ = pform_make_branch_probe_expression(@1, $1, $3, $5); } () def p_branch_probe_expression_2(p): '''branch_probe_expression : IDENTIFIER '(' IDENTIFIER ')' ''' - print('branch_probe_expression', list(p)) + print('branch_probe_expression_2', list(p)) # { $$ = pform_make_branch_probe_expression(@1, $1, $3); } () def p_expression_1(p): '''expression : expr_primary_or_typename ''' - print('expression1', list(p)) - p[0] = p[1] + print('expression_1', list(p)) # { $$ = $1; } () def p_expression_2(p): '''expression : inc_or_dec_expression ''' - print('expression2', list(p)) + print('expression_2', list(p)) # { $$ = $1; } () def p_expression_3(p): '''expression : inside_expression ''' - print('expression3', list(p)) + print('expression_3', list(p)) # { $$ = $1; } () def p_expression_4(p): '''expression : '+' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression4', list(p)) + print('expression_4', list(p)) # { $$ = $3; } () def p_expression_5(p): '''expression : '-' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression5', list(p)) + print('expression_5', list(p)) # { PEUnary*tmp = new PEUnary('-', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3262,7 +3261,7 @@ def p_expression_5(p): () def p_expression_6(p): '''expression : '~' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression6', list(p)) + print('expression_6', list(p)) # { PEUnary*tmp = new PEUnary('~', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3270,7 +3269,7 @@ def p_expression_6(p): () def p_expression_7(p): '''expression : '&' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression7', list(p)) + print('expression_7', list(p)) # { PEUnary*tmp = new PEUnary('&', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3278,7 +3277,7 @@ def p_expression_7(p): () def p_expression_8(p): '''expression : '!' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression8', list(p)) + print('expression_8', list(p)) # { PEUnary*tmp = new PEUnary('!', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3286,7 +3285,7 @@ def p_expression_8(p): () def p_expression_9(p): '''expression : '|' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression9', list(p)) + print('expression_9', list(p)) # { PEUnary*tmp = new PEUnary('|', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3294,7 +3293,7 @@ def p_expression_9(p): () def p_expression_10(p): '''expression : '^' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression10', list(p)) + print('expression_10', list(p)) # { PEUnary*tmp = new PEUnary('^', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3302,7 +3301,7 @@ def p_expression_10(p): () def p_expression_11(p): '''expression : '~' '&' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_11', list(p)) # { yyerror(@1, "error: '~' '&' is not a valid expression. " # "Please use operator '~&' instead."); # $$ = 0; @@ -3310,7 +3309,7 @@ def p_expression_11(p): () def p_expression_12(p): '''expression : '~' '|' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_12', list(p)) # { yyerror(@1, "error: '~' '|' is not a valid expression. " # "Please use operator '~|' instead."); # $$ = 0; @@ -3318,7 +3317,7 @@ def p_expression_12(p): () def p_expression_13(p): '''expression : '~' '^' attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_13', list(p)) # { yyerror(@1, "error: '~' '^' is not a valid expression. " # "Please use operator '~^' instead."); # $$ = 0; @@ -3326,7 +3325,7 @@ def p_expression_13(p): () def p_expression_14(p): '''expression : K_NAND attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_14', list(p)) # { PEUnary*tmp = new PEUnary('A', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3334,7 +3333,7 @@ def p_expression_14(p): () def p_expression_15(p): '''expression : K_NOR attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_15', list(p)) # { PEUnary*tmp = new PEUnary('N', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3342,7 +3341,7 @@ def p_expression_15(p): () def p_expression_16(p): '''expression : K_NXOR attribute_list_opt expr_primary %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_16', list(p)) # { PEUnary*tmp = new PEUnary('X', $3); # FILE_NAME(tmp, @3); # $$ = tmp; @@ -3350,7 +3349,7 @@ def p_expression_16(p): () def p_expression_17(p): '''expression : '!' error %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_17', list(p)) # { yyerror(@1, "error: Operand of unary ! " # "is not a primary expression."); # $$ = 0; @@ -3358,7 +3357,7 @@ def p_expression_17(p): () def p_expression_18(p): '''expression : '^' error %prec UNARY_PREC ''' - print('expression', list(p)) + print('expression_18', list(p)) # { yyerror(@1, "error: Operand of reduction ^ " # "is not a primary expression."); # $$ = 0; @@ -3366,7 +3365,7 @@ def p_expression_18(p): () def p_expression_19(p): '''expression : expression '^' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_19', list(p)) # { PEBinary*tmp = new PEBinary('^', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3374,7 +3373,7 @@ def p_expression_19(p): () def p_expression_20(p): '''expression : expression K_POW attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_20', list(p)) # { PEBinary*tmp = new PEBPower('p', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3382,7 +3381,7 @@ def p_expression_20(p): () def p_expression_21(p): '''expression : expression '*' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_21', list(p)) # { PEBinary*tmp = new PEBinary('*', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3390,7 +3389,7 @@ def p_expression_21(p): () def p_expression_22(p): '''expression : expression '/' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_22', list(p)) # { PEBinary*tmp = new PEBinary('/', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3398,7 +3397,7 @@ def p_expression_22(p): () def p_expression_23(p): '''expression : expression '%' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_23', list(p)) # { PEBinary*tmp = new PEBinary('%', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3406,7 +3405,7 @@ def p_expression_23(p): () def p_expression_24(p): '''expression : expression '+' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_24', list(p)) # { PEBinary*tmp = new PEBinary('+', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3414,7 +3413,7 @@ def p_expression_24(p): () def p_expression_25(p): '''expression : expression '-' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_25', list(p)) # { PEBinary*tmp = new PEBinary('-', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3422,7 +3421,7 @@ def p_expression_25(p): () def p_expression_26(p): '''expression : expression '&' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_26', list(p)) # { PEBinary*tmp = new PEBinary('&', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3430,7 +3429,7 @@ def p_expression_26(p): () def p_expression_27(p): '''expression : expression '|' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_27', list(p)) # { PEBinary*tmp = new PEBinary('|', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3438,7 +3437,7 @@ def p_expression_27(p): () def p_expression_28(p): '''expression : expression K_NAND attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_28', list(p)) # { PEBinary*tmp = new PEBinary('A', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3446,7 +3445,7 @@ def p_expression_28(p): () def p_expression_29(p): '''expression : expression K_NOR attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_29', list(p)) # { PEBinary*tmp = new PEBinary('O', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3454,7 +3453,7 @@ def p_expression_29(p): () def p_expression_30(p): '''expression : expression K_NXOR attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_30', list(p)) # { PEBinary*tmp = new PEBinary('X', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3462,7 +3461,7 @@ def p_expression_30(p): () def p_expression_31(p): '''expression : expression '<' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_31', list(p)) # { PEBinary*tmp = new PEBComp('<', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3470,7 +3469,7 @@ def p_expression_31(p): () def p_expression_32(p): '''expression : expression '>' attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_32', list(p)) # { PEBinary*tmp = new PEBComp('>', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3478,7 +3477,7 @@ def p_expression_32(p): () def p_expression_33(p): '''expression : expression K_LS attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_33', list(p)) # { PEBinary*tmp = new PEBShift('l', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3486,7 +3485,7 @@ def p_expression_33(p): () def p_expression_34(p): '''expression : expression K_RS attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_34', list(p)) # { PEBinary*tmp = new PEBShift('r', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3494,7 +3493,7 @@ def p_expression_34(p): () def p_expression_35(p): '''expression : expression K_RSS attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_35', list(p)) # { PEBinary*tmp = new PEBShift('R', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3502,7 +3501,7 @@ def p_expression_35(p): () def p_expression_36(p): '''expression : expression K_EQ attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_36', list(p)) # { PEBinary*tmp = new PEBComp('e', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3510,7 +3509,7 @@ def p_expression_36(p): () def p_expression_37(p): '''expression : expression K_CEQ attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_37', list(p)) # { PEBinary*tmp = new PEBComp('E', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3518,7 +3517,7 @@ def p_expression_37(p): () def p_expression_38(p): '''expression : expression K_WEQ attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_38', list(p)) # { PEBinary*tmp = new PEBComp('w', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3526,7 +3525,7 @@ def p_expression_38(p): () def p_expression_39(p): '''expression : expression K_LE attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_39', list(p)) # { PEBinary*tmp = new PEBComp('L', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3534,7 +3533,7 @@ def p_expression_39(p): () def p_expression_40(p): '''expression : expression K_GE attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_40', list(p)) # { PEBinary*tmp = new PEBComp('G', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3542,7 +3541,7 @@ def p_expression_40(p): () def p_expression_41(p): '''expression : expression K_NE attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_41', list(p)) # { PEBinary*tmp = new PEBComp('n', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3550,7 +3549,7 @@ def p_expression_41(p): () def p_expression_42(p): '''expression : expression K_CNE attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_42', list(p)) # { PEBinary*tmp = new PEBComp('N', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3558,7 +3557,7 @@ def p_expression_42(p): () def p_expression_43(p): '''expression : expression K_WNE attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_43', list(p)) # { PEBinary*tmp = new PEBComp('W', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3566,7 +3565,7 @@ def p_expression_43(p): () def p_expression_44(p): '''expression : expression K_LOR attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_44', list(p)) # { PEBinary*tmp = new PEBLogic('o', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3574,7 +3573,7 @@ def p_expression_44(p): () def p_expression_45(p): '''expression : expression K_LAND attribute_list_opt expression ''' - print('expression', list(p)) + print('expression_45', list(p)) # { PEBinary*tmp = new PEBLogic('a', $1, $4); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3582,7 +3581,7 @@ def p_expression_45(p): () def p_expression_46(p): '''expression : expression '?' attribute_list_opt expression ':' expression ''' - print('expression', list(p)) + print('expression_46', list(p)) # { PETernary*tmp = new PETernary($1, $4, $6); # FILE_NAME(tmp, @2); # $$ = tmp; @@ -3590,12 +3589,12 @@ def p_expression_46(p): () def p_expr_mintypmax_1(p): '''expr_mintypmax : expression ''' - print('expr_mintypmax', list(p)) + print('expr_mintypmax_1', list(p)) # { $$ = $1; } () def p_expr_mintypmax_2(p): '''expr_mintypmax : expression ':' expression ':' expression ''' - print('expr_mintypmax', list(p)) + print('expr_mintypmax_2', list(p)) # { switch (min_typ_max_flag) { # case MIN: # $$ = $1; @@ -3633,7 +3632,7 @@ def p_expr_mintypmax_2(p): () def p_expression_list_with_nuls_1(p): '''expression_list_with_nuls : expression_list_with_nuls ',' expression ''' - print('expression_list_with_nuls', list(p)) + print('expression_list_with_nuls_1', list(p)) # { list*tmp = $1; # tmp->push_back($3); # $$ = tmp; @@ -3641,7 +3640,7 @@ def p_expression_list_with_nuls_1(p): () def p_expression_list_with_nuls_2(p): '''expression_list_with_nuls : expression ''' - print('expression_list_with_nuls', list(p)) + print('expression_list_with_nuls_2', list(p)) # { list*tmp = new list; # tmp->push_back($1); # $$ = tmp; @@ -3649,7 +3648,7 @@ def p_expression_list_with_nuls_2(p): () def p_expression_list_with_nuls_3(p): '''expression_list_with_nuls : ''' - print('expression_list_with_nuls', list(p)) + print('expression_list_with_nuls_3', list(p)) # { list*tmp = new list; # tmp->push_back(0); # $$ = tmp; @@ -3657,7 +3656,7 @@ def p_expression_list_with_nuls_3(p): () def p_expression_list_with_nuls_4(p): '''expression_list_with_nuls : expression_list_with_nuls ',' ''' - print('expression_list_with_nuls', list(p)) + print('expression_list_with_nuls_4', list(p)) # { list*tmp = $1; # tmp->push_back(0); # $$ = tmp; @@ -3665,7 +3664,7 @@ def p_expression_list_with_nuls_4(p): () def p_expression_list_proper_1(p): '''expression_list_proper : expression_list_proper ',' expression ''' - print('expression_list_proper', list(p)) + print('expression_list_proper_1', list(p)) # { list*tmp = $1; # tmp->push_back($3); # $$ = tmp; @@ -3673,7 +3672,7 @@ def p_expression_list_proper_1(p): () def p_expression_list_proper_2(p): '''expression_list_proper : expression ''' - print('expression_list_proper', list(p)) + print('expression_list_proper_2', list(p)) # { list*tmp = new list; # tmp->push_back($1); # $$ = tmp; @@ -3681,13 +3680,11 @@ def p_expression_list_proper_2(p): () def p_expr_primary_or_typename_1(p): '''expr_primary_or_typename : expr_primary ''' - print('expr_primary_or_typename', list(p)) - p[0] = p[1] + print('expr_primary_or_typename_1', list(p)) () def p_expr_primary_or_typename_2(p): '''expr_primary_or_typename : TYPE_IDENTIFIER ''' - print('expr_primary_or_typename', list(p)) - p[0] = p[1] + print('expr_primary_or_typename_2', list(p)) # { PETypename*tmp = new PETypename($1.type); # FILE_NAME(tmp,@1); # $$ = tmp; @@ -3696,8 +3693,7 @@ def p_expr_primary_or_typename_2(p): () def p_expr_primary_1(p): '''expr_primary : number ''' - print('expr_primary1', list(p)) - p[0] = p[1] + print('expr_primary_1', list(p)) # { assert($1); # PENumber*tmp = new PENumber($1); # FILE_NAME(tmp, @1); @@ -3706,7 +3702,7 @@ def p_expr_primary_1(p): () def p_expr_primary_2(p): '''expr_primary : REALTIME ''' - print('expr_primary', list(p)) + print('expr_primary_2', list(p)) # { PEFNumber*tmp = new PEFNumber($1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -3714,7 +3710,7 @@ def p_expr_primary_2(p): () def p_expr_primary_3(p): '''expr_primary : STRING ''' - print('expr_primary3', list(p)) + print('expr_primary_3', list(p)) # { PEString*tmp = new PEString($1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -3722,7 +3718,7 @@ def p_expr_primary_3(p): () def p_expr_primary_4(p): '''expr_primary : TIME_LITERAL ''' - print('expr_primary', list(p)) + print('expr_primary_4', list(p)) # { int unit; # # based_size = 0; @@ -3741,7 +3737,7 @@ def p_expr_primary_4(p): () def p_expr_primary_5(p): '''expr_primary : SYSTEM_IDENTIFIER ''' - print('expr_primary', list(p)) + print('expr_primary_5', list(p)) # { perm_string tn = lex_strings.make($1); # PECallFunction*tmp = new PECallFunction(tn); # FILE_NAME(tmp, @1); @@ -3751,8 +3747,7 @@ def p_expr_primary_5(p): () def p_expr_primary_6(p): '''expr_primary : hierarchy_identifier ''' - print('expr_primary6', list(p)) - p[0] = p[1] + print('expr_primary_6', list(p)) # { PEIdent*tmp = pform_new_ident(*$1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -3761,14 +3756,14 @@ def p_expr_primary_6(p): () def p_expr_primary_7(p): '''expr_primary : PACKAGE_IDENTIFIER K_SCOPE_RES hierarchy_identifier ''' - print('expr_primary', list(p)) + print('expr_primary_7', list(p)) # { $$ = pform_package_ident(@2, $1, $3); # delete $3; # } () def p_expr_primary_8(p): '''expr_primary : hierarchy_identifier '(' expression_list_with_nuls ')' ''' - print('expr_primary', list(p)) + print('expr_primary_8', list(p)) # { list*expr_list = $3; # strip_tail_items(expr_list); # PECallFunction*tmp = pform_make_call_function(@1, *$1, *expr_list); @@ -3778,7 +3773,7 @@ def p_expr_primary_8(p): () def p_expr_primary_9(p): '''expr_primary : implicit_class_handle '.' hierarchy_identifier '(' expression_list_with_nuls ')' ''' - print('expr_primary', list(p)) + print('expr_primary_9', list(p)) # { pform_name_t*t_name = $1; # while (! $3->empty()) { # t_name->push_back($3->front()); @@ -3794,7 +3789,7 @@ def p_expr_primary_9(p): () def p_expr_primary_10(p): '''expr_primary : SYSTEM_IDENTIFIER '(' expression_list_proper ')' ''' - print('expr_primary', list(p)) + print('expr_primary_10', list(p)) # { perm_string tn = lex_strings.make($1); # PECallFunction*tmp = new PECallFunction(tn, *$3); # FILE_NAME(tmp, @1); @@ -3804,7 +3799,7 @@ def p_expr_primary_10(p): () def p_expr_primary_11(p): '''expr_primary : PACKAGE_IDENTIFIER K_SCOPE_RES IDENTIFIER '(' expression_list_proper ')' ''' - print('expr_primary', list(p)) + print('expr_primary_11', list(p)) # { perm_string use_name = lex_strings.make($3); # PECallFunction*tmp = new PECallFunction($1, use_name, *$5); # FILE_NAME(tmp, @3); @@ -3814,7 +3809,7 @@ def p_expr_primary_11(p): () def p_expr_primary_12(p): '''expr_primary : SYSTEM_IDENTIFIER '(' ')' ''' - print('expr_primary', list(p)) + print('expr_primary_12', list(p)) # { perm_string tn = lex_strings.make($1); # const vectorempty; # PECallFunction*tmp = new PECallFunction(tn, empty); @@ -3828,7 +3823,7 @@ def p_expr_primary_12(p): () def p_expr_primary_13(p): '''expr_primary : implicit_class_handle ''' - print('expr_primary', list(p)) + print('expr_primary_13', list(p)) # { PEIdent*tmp = new PEIdent(*$1); # FILE_NAME(tmp,@1); # delete $1; @@ -3837,7 +3832,7 @@ def p_expr_primary_13(p): () def p_expr_primary_14(p): '''expr_primary : implicit_class_handle '.' hierarchy_identifier ''' - print('expr_primary', list(p)) + print('expr_primary_14', list(p)) # { pform_name_t*t_name = $1; # while (! $3->empty()) { # t_name->push_back($3->front()); @@ -3852,7 +3847,7 @@ def p_expr_primary_14(p): () def p_expr_primary_15(p): '''expr_primary : K_acos '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_15', list(p)) # { perm_string tn = perm_string::literal("$acos"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3861,7 +3856,7 @@ def p_expr_primary_15(p): () def p_expr_primary_16(p): '''expr_primary : K_acosh '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_16', list(p)) # { perm_string tn = perm_string::literal("$acosh"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3870,7 +3865,7 @@ def p_expr_primary_16(p): () def p_expr_primary_17(p): '''expr_primary : K_asin '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_17', list(p)) # { perm_string tn = perm_string::literal("$asin"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3879,7 +3874,7 @@ def p_expr_primary_17(p): () def p_expr_primary_18(p): '''expr_primary : K_asinh '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_18', list(p)) # { perm_string tn = perm_string::literal("$asinh"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3888,7 +3883,7 @@ def p_expr_primary_18(p): () def p_expr_primary_19(p): '''expr_primary : K_atan '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_19', list(p)) # { perm_string tn = perm_string::literal("$atan"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3897,7 +3892,7 @@ def p_expr_primary_19(p): () def p_expr_primary_20(p): '''expr_primary : K_atanh '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_20', list(p)) # { perm_string tn = perm_string::literal("$atanh"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3906,7 +3901,7 @@ def p_expr_primary_20(p): () def p_expr_primary_21(p): '''expr_primary : K_atan2 '(' expression ',' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_21', list(p)) # { perm_string tn = perm_string::literal("$atan2"); # PECallFunction*tmp = make_call_function(tn, $3, $5); # FILE_NAME(tmp,@1); @@ -3915,7 +3910,7 @@ def p_expr_primary_21(p): () def p_expr_primary_22(p): '''expr_primary : K_ceil '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_22', list(p)) # { perm_string tn = perm_string::literal("$ceil"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3924,7 +3919,7 @@ def p_expr_primary_22(p): () def p_expr_primary_23(p): '''expr_primary : K_cos '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_23', list(p)) # { perm_string tn = perm_string::literal("$cos"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3933,7 +3928,7 @@ def p_expr_primary_23(p): () def p_expr_primary_24(p): '''expr_primary : K_cosh '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_24', list(p)) # { perm_string tn = perm_string::literal("$cosh"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3942,7 +3937,7 @@ def p_expr_primary_24(p): () def p_expr_primary_25(p): '''expr_primary : K_exp '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_25', list(p)) # { perm_string tn = perm_string::literal("$exp"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3951,7 +3946,7 @@ def p_expr_primary_25(p): () def p_expr_primary_26(p): '''expr_primary : K_floor '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_26', list(p)) # { perm_string tn = perm_string::literal("$floor"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3960,7 +3955,7 @@ def p_expr_primary_26(p): () def p_expr_primary_27(p): '''expr_primary : K_hypot '(' expression ',' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_27', list(p)) # { perm_string tn = perm_string::literal("$hypot"); # PECallFunction*tmp = make_call_function(tn, $3, $5); # FILE_NAME(tmp,@1); @@ -3969,7 +3964,7 @@ def p_expr_primary_27(p): () def p_expr_primary_28(p): '''expr_primary : K_ln '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_28', list(p)) # { perm_string tn = perm_string::literal("$ln"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3978,7 +3973,7 @@ def p_expr_primary_28(p): () def p_expr_primary_29(p): '''expr_primary : K_log '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_29', list(p)) # { perm_string tn = perm_string::literal("$log10"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -3987,7 +3982,7 @@ def p_expr_primary_29(p): () def p_expr_primary_30(p): '''expr_primary : K_pow '(' expression ',' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_30', list(p)) # { perm_string tn = perm_string::literal("$pow"); # PECallFunction*tmp = make_call_function(tn, $3, $5); # FILE_NAME(tmp,@1); @@ -3996,7 +3991,7 @@ def p_expr_primary_30(p): () def p_expr_primary_31(p): '''expr_primary : K_sin '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_31', list(p)) # { perm_string tn = perm_string::literal("$sin"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -4005,7 +4000,7 @@ def p_expr_primary_31(p): () def p_expr_primary_32(p): '''expr_primary : K_sinh '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_32', list(p)) # { perm_string tn = perm_string::literal("$sinh"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -4014,7 +4009,7 @@ def p_expr_primary_32(p): () def p_expr_primary_33(p): '''expr_primary : K_sqrt '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_33', list(p)) # { perm_string tn = perm_string::literal("$sqrt"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -4023,7 +4018,7 @@ def p_expr_primary_33(p): () def p_expr_primary_34(p): '''expr_primary : K_tan '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_34', list(p)) # { perm_string tn = perm_string::literal("$tan"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -4032,7 +4027,7 @@ def p_expr_primary_34(p): () def p_expr_primary_35(p): '''expr_primary : K_tanh '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_35', list(p)) # { perm_string tn = perm_string::literal("$tanh"); # PECallFunction*tmp = make_call_function(tn, $3); # FILE_NAME(tmp,@1); @@ -4041,7 +4036,7 @@ def p_expr_primary_35(p): () def p_expr_primary_36(p): '''expr_primary : K_abs '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_36', list(p)) # { PEUnary*tmp = new PEUnary('m', $3); # FILE_NAME(tmp,@1); # $$ = tmp; @@ -4049,7 +4044,7 @@ def p_expr_primary_36(p): () def p_expr_primary_37(p): '''expr_primary : K_max '(' expression ',' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_37', list(p)) # { PEBinary*tmp = new PEBinary('M', $3, $5); # FILE_NAME(tmp,@1); # $$ = tmp; @@ -4057,7 +4052,7 @@ def p_expr_primary_37(p): () def p_expr_primary_38(p): '''expr_primary : K_min '(' expression ',' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_38', list(p)) # { PEBinary*tmp = new PEBinary('m', $3, $5); # FILE_NAME(tmp,@1); # $$ = tmp; @@ -4065,12 +4060,12 @@ def p_expr_primary_38(p): () def p_expr_primary_39(p): '''expr_primary : '(' expr_mintypmax ')' ''' - print('expr_primary', list(p)) + print('expr_primary_39', list(p)) # { $$ = $2; } () def p_expr_primary_40(p): '''expr_primary : '{' expression_list_proper '}' ''' - print('expr_primary', list(p)) + print('expr_primary_40', list(p)) # { PEConcat*tmp = new PEConcat(*$2); # FILE_NAME(tmp, @1); # delete $2; @@ -4079,7 +4074,7 @@ def p_expr_primary_40(p): () def p_expr_primary_41(p): '''expr_primary : '{' expression '{' expression_list_proper '}' '}' ''' - print('expr_primary', list(p)) + print('expr_primary_41', list(p)) # { PExpr*rep = $2; # PEConcat*tmp = new PEConcat(*$4, rep); # FILE_NAME(tmp, @1); @@ -4089,7 +4084,7 @@ def p_expr_primary_41(p): () def p_expr_primary_42(p): '''expr_primary : '{' expression '{' expression_list_proper '}' error '}' ''' - print('expr_primary', list(p)) + print('expr_primary_42', list(p)) # { PExpr*rep = $2; # PEConcat*tmp = new PEConcat(*$4, rep); # FILE_NAME(tmp, @1); @@ -4102,7 +4097,7 @@ def p_expr_primary_42(p): () def p_expr_primary_43(p): '''expr_primary : '{' '}' ''' - print('expr_primary', list(p)) + print('expr_primary_43', list(p)) # { // This is the empty queue syntax. # if (gn_system_verilog()) { # list empty_list; @@ -4117,7 +4112,7 @@ def p_expr_primary_43(p): () def p_expr_primary_44(p): '''expr_primary : expr_primary "'" '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_44', list(p)) # { PExpr*base = $4; # if (gn_system_verilog()) { # PECastSize*tmp = new PECastSize($1, base); @@ -4131,7 +4126,7 @@ def p_expr_primary_44(p): () def p_expr_primary_45(p): '''expr_primary : simple_type_or_string "'" '(' expression ')' ''' - print('expr_primary', list(p)) + print('expr_primary_45', list(p)) # { PExpr*base = $4; # if (gn_system_verilog()) { # PECastType*tmp = new PECastType($1, base); @@ -4145,17 +4140,17 @@ def p_expr_primary_45(p): () def p_expr_primary_46(p): '''expr_primary : assignment_pattern ''' - print('expr_primary', list(p)) + print('expr_primary_46', list(p)) # { $$ = $1; } () def p_expr_primary_47(p): '''expr_primary : streaming_concatenation ''' - print('expr_primary', list(p)) + print('expr_primary_47', list(p)) # { $$ = $1; } () def p_expr_primary_48(p): '''expr_primary : K_null ''' - print('expr_primary', list(p)) + print('expr_primary_48', list(p)) # { PENull*tmp = new PENull; # FILE_NAME(tmp, @1); # $$ = tmp; @@ -4163,22 +4158,22 @@ def p_expr_primary_48(p): () def p_function_item_list_opt_1(p): '''function_item_list_opt : function_item_list ''' - print('function_item_list_opt', list(p)) + print('function_item_list_opt_1', list(p)) # { $$ = $1; } () def p_function_item_list_opt_2(p): '''function_item_list_opt : ''' - print('function_item_list_opt', list(p)) + print('function_item_list_opt_2', list(p)) # { $$ = 0; } () def p_function_item_list_1(p): '''function_item_list : function_item ''' - print('function_item_list', list(p)) + print('function_item_list_1', list(p)) # { $$ = $1; } () def p_function_item_list_2(p): '''function_item_list : function_item_list function_item ''' - print('function_item_list', list(p)) + print('function_item_list_2', list(p)) # { /* */ # if ($1 && $2) { # vector*tmp = $1; @@ -4197,17 +4192,17 @@ def p_function_item_list_2(p): () def p_function_item_1(p): '''function_item : tf_port_declaration ''' - print('function_item', list(p)) + print('function_item_1', list(p)) # { $$ = $1; } () def p_function_item_2(p): '''function_item : block_item_decl ''' - print('function_item', list(p)) + print('function_item_2', list(p)) # { $$ = 0; } () def p_gate_instance_1(p): '''gate_instance : IDENTIFIER '(' expression_list_with_nuls ')' ''' - print('gate_instance', list(p)) + print('gate_instance_1', list(p)) # { lgate*tmp = new lgate; # tmp->name = $1; # tmp->parms = $3; @@ -4219,7 +4214,7 @@ def p_gate_instance_1(p): () def p_gate_instance_2(p): '''gate_instance : IDENTIFIER dimensions '(' expression_list_with_nuls ')' ''' - print('gate_instance', list(p)) + print('gate_instance_2', list(p)) # { lgate*tmp = new lgate; # list*rng = $2; # tmp->name = $1; @@ -4236,7 +4231,7 @@ def p_gate_instance_2(p): () def p_gate_instance_3(p): '''gate_instance : '(' expression_list_with_nuls ')' ''' - print('gate_instance', list(p)) + print('gate_instance_3', list(p)) # { lgate*tmp = new lgate; # tmp->name = ""; # tmp->parms = $2; @@ -4247,7 +4242,7 @@ def p_gate_instance_3(p): () def p_gate_instance_4(p): '''gate_instance : IDENTIFIER dimensions ''' - print('gate_instance', list(p)) + print('gate_instance_4', list(p)) # { lgate*tmp = new lgate; # list*rng = $2; # tmp->name = $1; @@ -4265,7 +4260,7 @@ def p_gate_instance_4(p): () def p_gate_instance_5(p): '''gate_instance : IDENTIFIER '(' port_name_list ')' ''' - print('gate_instance', list(p)) + print('gate_instance_5', list(p)) # { lgate*tmp = new lgate; # tmp->name = $1; # tmp->parms = 0; @@ -4278,7 +4273,7 @@ def p_gate_instance_5(p): () def p_gate_instance_6(p): '''gate_instance : IDENTIFIER dimensions '(' port_name_list ')' ''' - print('gate_instance', list(p)) + print('gate_instance_6', list(p)) # { lgate*tmp = new lgate; # list*rng = $2; # tmp->name = $1; @@ -4296,7 +4291,7 @@ def p_gate_instance_6(p): () def p_gate_instance_7(p): '''gate_instance : IDENTIFIER '(' error ')' ''' - print('gate_instance', list(p)) + print('gate_instance_7', list(p)) # { lgate*tmp = new lgate; # tmp->name = $1; # tmp->parms = 0; @@ -4311,7 +4306,7 @@ def p_gate_instance_7(p): () def p_gate_instance_8(p): '''gate_instance : IDENTIFIER dimensions '(' error ')' ''' - print('gate_instance', list(p)) + print('gate_instance_8', list(p)) # { lgate*tmp = new lgate; # tmp->name = $1; # tmp->parms = 0; @@ -4326,7 +4321,7 @@ def p_gate_instance_8(p): () def p_gate_instance_list_1(p): '''gate_instance_list : gate_instance_list ',' gate_instance ''' - print('gate_instance_list', list(p)) + print('gate_instance_list_1', list(p)) # { svector*tmp1 = $1; # lgate*tmp2 = $3; # svector*out = new svector (*tmp1, *tmp2); @@ -4337,7 +4332,7 @@ def p_gate_instance_list_1(p): () def p_gate_instance_list_2(p): '''gate_instance_list : gate_instance ''' - print('gate_instance_list', list(p)) + print('gate_instance_list_2', list(p)) # { svector*tmp = new svector(1); # (*tmp)[0] = *$1; # delete $1; @@ -4346,122 +4341,122 @@ def p_gate_instance_list_2(p): () def p_gatetype_1(p): '''gatetype : K_and ''' - print('gatetype', list(p)) + print('gatetype_1', list(p)) # { $$ = PGBuiltin::AND; } () def p_gatetype_2(p): '''gatetype : K_nand ''' - print('gatetype', list(p)) + print('gatetype_2', list(p)) # { $$ = PGBuiltin::NAND; } () def p_gatetype_3(p): '''gatetype : K_or ''' - print('gatetype', list(p)) + print('gatetype_3', list(p)) # { $$ = PGBuiltin::OR; } () def p_gatetype_4(p): '''gatetype : K_nor ''' - print('gatetype', list(p)) + print('gatetype_4', list(p)) # { $$ = PGBuiltin::NOR; } () def p_gatetype_5(p): '''gatetype : K_xor ''' - print('gatetype', list(p)) + print('gatetype_5', list(p)) # { $$ = PGBuiltin::XOR; } () def p_gatetype_6(p): '''gatetype : K_xnor ''' - print('gatetype', list(p)) + print('gatetype_6', list(p)) # { $$ = PGBuiltin::XNOR; } () def p_gatetype_7(p): '''gatetype : K_buf ''' - print('gatetype', list(p)) + print('gatetype_7', list(p)) # { $$ = PGBuiltin::BUF; } () def p_gatetype_8(p): '''gatetype : K_bufif0 ''' - print('gatetype', list(p)) + print('gatetype_8', list(p)) # { $$ = PGBuiltin::BUFIF0; } () def p_gatetype_9(p): '''gatetype : K_bufif1 ''' - print('gatetype', list(p)) + print('gatetype_9', list(p)) # { $$ = PGBuiltin::BUFIF1; } () def p_gatetype_10(p): '''gatetype : K_not ''' - print('gatetype', list(p)) + print('gatetype_10', list(p)) # { $$ = PGBuiltin::NOT; } () def p_gatetype_11(p): '''gatetype : K_notif0 ''' - print('gatetype', list(p)) + print('gatetype_11', list(p)) # { $$ = PGBuiltin::NOTIF0; } () def p_gatetype_12(p): '''gatetype : K_notif1 ''' - print('gatetype', list(p)) + print('gatetype_12', list(p)) # { $$ = PGBuiltin::NOTIF1; } () def p_switchtype_1(p): '''switchtype : K_nmos ''' - print('switchtype', list(p)) + print('switchtype_1', list(p)) # { $$ = PGBuiltin::NMOS; } () def p_switchtype_2(p): '''switchtype : K_rnmos ''' - print('switchtype', list(p)) + print('switchtype_2', list(p)) # { $$ = PGBuiltin::RNMOS; } () def p_switchtype_3(p): '''switchtype : K_pmos ''' - print('switchtype', list(p)) + print('switchtype_3', list(p)) # { $$ = PGBuiltin::PMOS; } () def p_switchtype_4(p): '''switchtype : K_rpmos ''' - print('switchtype', list(p)) + print('switchtype_4', list(p)) # { $$ = PGBuiltin::RPMOS; } () def p_switchtype_5(p): '''switchtype : K_cmos ''' - print('switchtype', list(p)) + print('switchtype_5', list(p)) # { $$ = PGBuiltin::CMOS; } () def p_switchtype_6(p): '''switchtype : K_rcmos ''' - print('switchtype', list(p)) + print('switchtype_6', list(p)) # { $$ = PGBuiltin::RCMOS; } () def p_switchtype_7(p): '''switchtype : K_tran ''' - print('switchtype', list(p)) + print('switchtype_7', list(p)) # { $$ = PGBuiltin::TRAN; } () def p_switchtype_8(p): '''switchtype : K_rtran ''' - print('switchtype', list(p)) + print('switchtype_8', list(p)) # { $$ = PGBuiltin::RTRAN; } () def p_switchtype_9(p): '''switchtype : K_tranif0 ''' - print('switchtype', list(p)) + print('switchtype_9', list(p)) # { $$ = PGBuiltin::TRANIF0; } () def p_switchtype_10(p): '''switchtype : K_tranif1 ''' - print('switchtype', list(p)) + print('switchtype_10', list(p)) # { $$ = PGBuiltin::TRANIF1; } () def p_switchtype_11(p): '''switchtype : K_rtranif0 ''' - print('switchtype', list(p)) + print('switchtype_11', list(p)) # { $$ = PGBuiltin::RTRANIF0; } () def p_switchtype_12(p): '''switchtype : K_rtranif1 ''' - print('switchtype', list(p)) + print('switchtype_12', list(p)) # { $$ = PGBuiltin::RTRANIF1; } () def p_hierarchy_identifier_1(p): @@ -4476,7 +4471,7 @@ def p_hierarchy_identifier_1(p): () def p_hierarchy_identifier_2(p): '''hierarchy_identifier : hierarchy_identifier '.' IDENTIFIER ''' - print('hierarchy_identifier', list(p)) + print('hierarchy_identifier_2', list(p)) # { pform_name_t * tmp = $1; # tmp->push_back(name_component_t(lex_strings.make($3))); # delete[]$3; @@ -4485,7 +4480,7 @@ def p_hierarchy_identifier_2(p): () def p_hierarchy_identifier_3(p): '''hierarchy_identifier : hierarchy_identifier '[' expression ']' ''' - print('hierarchy_identifier', list(p)) + print('hierarchy_identifier_3', list(p)) # { pform_name_t * tmp = $1; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -4497,7 +4492,7 @@ def p_hierarchy_identifier_3(p): () def p_hierarchy_identifier_4(p): '''hierarchy_identifier : hierarchy_identifier '[' '$' ']' ''' - print('hierarchy_identifier', list(p)) + print('hierarchy_identifier_4', list(p)) # { pform_name_t * tmp = $1; # name_component_t&tail = tmp->back(); # if (! gn_system_verilog()) { @@ -4514,7 +4509,7 @@ def p_hierarchy_identifier_4(p): () def p_hierarchy_identifier_5(p): '''hierarchy_identifier : hierarchy_identifier '[' expression ':' expression ']' ''' - print('hierarchy_identifier', list(p)) + print('hierarchy_identifier_5', list(p)) # { pform_name_t * tmp = $1; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -4527,7 +4522,7 @@ def p_hierarchy_identifier_5(p): () def p_hierarchy_identifier_6(p): '''hierarchy_identifier : hierarchy_identifier '[' expression K_PO_POS expression ']' ''' - print('hierarchy_identifier', list(p)) + print('hierarchy_identifier_6', list(p)) # { pform_name_t * tmp = $1; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -4540,7 +4535,7 @@ def p_hierarchy_identifier_6(p): () def p_hierarchy_identifier_7(p): '''hierarchy_identifier : hierarchy_identifier '[' expression K_PO_NEG expression ']' ''' - print('hierarchy_identifier', list(p)) + print('hierarchy_identifier_7', list(p)) # { pform_name_t * tmp = $1; # name_component_t&tail = tmp->back(); # index_component_t itmp; @@ -4553,47 +4548,47 @@ def p_hierarchy_identifier_7(p): () def p_list_of_identifiers_1(p): '''list_of_identifiers : IDENTIFIER ''' - print('list_of_identifiers', list(p)) + print('list_of_identifiers_1', list(p)) # { $$ = list_from_identifier($1); } () def p_list_of_identifiers_2(p): '''list_of_identifiers : list_of_identifiers ',' IDENTIFIER ''' - print('list_of_identifiers', list(p)) + print('list_of_identifiers_2', list(p)) # { $$ = list_from_identifier($1, $3); } () def p_list_of_port_identifiers_1(p): '''list_of_port_identifiers : IDENTIFIER dimensions_opt ''' - print('list_of_port_identifiers', list(p)) + print('list_of_port_identifiers_1', list(p)) # { $$ = make_port_list($1, $2, 0); } () def p_list_of_port_identifiers_2(p): '''list_of_port_identifiers : list_of_port_identifiers ',' IDENTIFIER dimensions_opt ''' - print('list_of_port_identifiers', list(p)) + print('list_of_port_identifiers_2', list(p)) # { $$ = make_port_list($1, $3, $4, 0); } () def p_list_of_variable_port_identifiers_1(p): '''list_of_variable_port_identifiers : IDENTIFIER dimensions_opt ''' - print('list_of_variable_port_identifiers', list(p)) + print('list_of_variable_port_identifiers_1', list(p)) # { $$ = make_port_list($1, $2, 0); } () def p_list_of_variable_port_identifiers_2(p): '''list_of_variable_port_identifiers : IDENTIFIER dimensions_opt '=' expression ''' - print('list_of_variable_port_identifiers', list(p)) + print('list_of_variable_port_identifiers_2', list(p)) # { $$ = make_port_list($1, $2, $4); } () def p_list_of_variable_port_identifiers_3(p): '''list_of_variable_port_identifiers : list_of_variable_port_identifiers ',' IDENTIFIER dimensions_opt ''' - print('list_of_variable_port_identifiers', list(p)) + print('list_of_variable_port_identifiers_3', list(p)) # { $$ = make_port_list($1, $3, $4, 0); } () def p_list_of_variable_port_identifiers_4(p): '''list_of_variable_port_identifiers : list_of_variable_port_identifiers ',' IDENTIFIER dimensions_opt '=' expression ''' - print('list_of_variable_port_identifiers', list(p)) + print('list_of_variable_port_identifiers_4', list(p)) # { $$ = make_port_list($1, $3, $4, $6); } () def p_list_of_ports_1(p): '''list_of_ports : port_opt ''' - print('list_of_ports', list(p)) + print('list_of_ports_1', list(p)) # { vector*tmp # = new vector(1); # (*tmp)[0] = $1; @@ -4602,7 +4597,7 @@ def p_list_of_ports_1(p): () def p_list_of_ports_2(p): '''list_of_ports : list_of_ports ',' port_opt ''' - print('list_of_ports', list(p)) + print('list_of_ports_2', list(p)) # { vector*tmp = $1; # tmp->push_back($3); # $$ = tmp; @@ -4610,7 +4605,7 @@ def p_list_of_ports_2(p): () def p_list_of_port_declarations_1(p): '''list_of_port_declarations : port_declaration ''' - print('list_of_port_declarations', list(p)) + print('list_of_port_declarations_1', list(p)) # { vector*tmp # = new vector(1); # (*tmp)[0] = $1; @@ -4619,7 +4614,7 @@ def p_list_of_port_declarations_1(p): () def p_list_of_port_declarations_2(p): '''list_of_port_declarations : list_of_port_declarations ',' port_declaration ''' - print('list_of_port_declarations', list(p)) + print('list_of_port_declarations_2', list(p)) # { vector*tmp = $1; # tmp->push_back($3); # $$ = tmp; @@ -4627,7 +4622,7 @@ def p_list_of_port_declarations_2(p): () def p_list_of_port_declarations_3(p): '''list_of_port_declarations : list_of_port_declarations ',' IDENTIFIER ''' - print('list_of_port_declarations', list(p)) + print('list_of_port_declarations_3', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($3); # ptmp = pform_module_port_reference(name, @3.text, @@ -4648,7 +4643,7 @@ def p_list_of_port_declarations_3(p): () def p_list_of_port_declarations_4(p): '''list_of_port_declarations : list_of_port_declarations ',' ''' - print('list_of_port_declarations', list(p)) + print('list_of_port_declarations_4', list(p)) # { # yyerror(@2, "error: NULL port declarations are not " # "allowed."); @@ -4656,7 +4651,7 @@ def p_list_of_port_declarations_4(p): () def p_list_of_port_declarations_5(p): '''list_of_port_declarations : list_of_port_declarations ';' ''' - print('list_of_port_declarations', list(p)) + print('list_of_port_declarations_5', list(p)) # { # yyerror(@2, "error: ';' is an invalid port declaration " # "separator."); @@ -4664,7 +4659,7 @@ def p_list_of_port_declarations_5(p): () def p_port_declaration_1(p): '''port_declaration : attribute_list_opt K_input net_type_opt data_type_or_implicit IDENTIFIER dimensions_opt ''' - print('port_declaration', list(p)) + print('port_declaration_1', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($5); # data_type_t*use_type = $4; @@ -4680,7 +4675,7 @@ def p_port_declaration_1(p): () def p_port_declaration_2(p): '''port_declaration : attribute_list_opt K_input K_wreal IDENTIFIER ''' - print('port_declaration', list(p)) + print('port_declaration_2', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($4); # ptmp = pform_module_port_reference(name, @2.text, @@ -4698,7 +4693,7 @@ def p_port_declaration_2(p): () def p_port_declaration_3(p): '''port_declaration : attribute_list_opt K_inout net_type_opt data_type_or_implicit IDENTIFIER dimensions_opt ''' - print('port_declaration', list(p)) + print('port_declaration_3', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($5); # ptmp = pform_module_port_reference(name, @2.text, @2.first_line); @@ -4716,7 +4711,7 @@ def p_port_declaration_3(p): () def p_port_declaration_4(p): '''port_declaration : attribute_list_opt K_inout K_wreal IDENTIFIER ''' - print('port_declaration', list(p)) + print('port_declaration_4', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($4); # ptmp = pform_module_port_reference(name, @2.text, @@ -4734,7 +4729,7 @@ def p_port_declaration_4(p): () def p_port_declaration_5(p): '''port_declaration : attribute_list_opt K_output net_type_opt data_type_or_implicit IDENTIFIER dimensions_opt ''' - print('port_declaration', list(p)) + print('port_declaration_5', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($5); # data_type_t*use_dtype = $4; @@ -4773,7 +4768,7 @@ def p_port_declaration_5(p): () def p_port_declaration_6(p): '''port_declaration : attribute_list_opt K_output K_wreal IDENTIFIER ''' - print('port_declaration', list(p)) + print('port_declaration_6', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($4); # ptmp = pform_module_port_reference(name, @2.text, @@ -4791,7 +4786,7 @@ def p_port_declaration_6(p): () def p_port_declaration_7(p): '''port_declaration : attribute_list_opt K_output net_type_opt data_type_or_implicit IDENTIFIER '=' expression ''' - print('port_declaration', list(p)) + print('port_declaration_7', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($5); # NetNet::Type use_type = $3; @@ -4819,68 +4814,67 @@ def p_port_declaration_7(p): () def p_net_type_opt_1(p): '''net_type_opt : net_type ''' - print('net_type_opt', list(p)) + print('net_type_opt_1', list(p)) # { $$ = $1; } () def p_net_type_opt_2(p): '''net_type_opt : ''' - print('net_type_opt', list(p)) + print('net_type_opt_2', list(p)) # { $$ = NetNet::IMPLICIT; } () def p_unsigned_signed_opt_1(p): '''unsigned_signed_opt : K_signed ''' - print('unsigned_signed_opt', list(p)) + print('unsigned_signed_opt_1', list(p)) # { $$ = true; } () def p_unsigned_signed_opt_2(p): '''unsigned_signed_opt : K_unsigned ''' - print('unsigned_signed_opt', list(p)) + print('unsigned_signed_opt_2', list(p)) # { $$ = false; } () def p_unsigned_signed_opt_3(p): '''unsigned_signed_opt : ''' - print('unsigned_signed_opt', list(p)) + print('unsigned_signed_opt_3', list(p)) # { $$ = false; } () def p_signed_unsigned_opt_1(p): '''signed_unsigned_opt : K_signed ''' - print('signed_unsigned_opt', list(p)) + print('signed_unsigned_opt_1', list(p)) # { $$ = true; } () def p_signed_unsigned_opt_2(p): '''signed_unsigned_opt : K_unsigned ''' - print('signed_unsigned_opt', list(p)) + print('signed_unsigned_opt_2', list(p)) # { $$ = false; } () def p_signed_unsigned_opt_3(p): '''signed_unsigned_opt : ''' - print('signed_unsigned_opt', list(p)) + print('signed_unsigned_opt_3', list(p)) # { $$ = true; } () def p_atom2_type_1(p): '''atom2_type : K_byte ''' - print('atom2_type', list(p)) + print('atom2_type_1', list(p)) # { $$ = 8; } () def p_atom2_type_2(p): '''atom2_type : K_shortint ''' - print('atom2_type', list(p)) + print('atom2_type_2', list(p)) # { $$ = 16; } () def p_atom2_type_3(p): '''atom2_type : K_int ''' - print('atom2_type', list(p)) + print('atom2_type_3', list(p)) # { $$ = 32; } () def p_atom2_type_4(p): '''atom2_type : K_longint ''' - print('atom2_type', list(p)) + print('atom2_type_4', list(p)) # { $$ = 64; } () def p_lpvalue_1(p): '''lpvalue : hierarchy_identifier ''' - print('lpvalue', list(p)) - p[0] = p[1] + print('lpvalue_1', list(p)) # { PEIdent*tmp = pform_new_ident(*$1); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -4889,7 +4883,7 @@ def p_lpvalue_1(p): () def p_lpvalue_2(p): '''lpvalue : implicit_class_handle '.' hierarchy_identifier ''' - print('lpvalue', list(p)) + print('lpvalue_2', list(p)) # { pform_name_t*t_name = $1; # while (!$3->empty()) { # t_name->push_back($3->front()); @@ -4904,7 +4898,7 @@ def p_lpvalue_2(p): () def p_lpvalue_3(p): '''lpvalue : '{' expression_list_proper '}' ''' - print('lpvalue', list(p)) + print('lpvalue_3', list(p)) # { PEConcat*tmp = new PEConcat(*$2); # FILE_NAME(tmp, @1); # delete $2; @@ -4913,14 +4907,14 @@ def p_lpvalue_3(p): () def p_lpvalue_4(p): '''lpvalue : streaming_concatenation ''' - print('lpvalue', list(p)) + print('lpvalue_4', list(p)) # { yyerror(@1, "sorry: streaming concatenation not supported in l-values."); # $$ = 0; # } () def p_cont_assign_1(p): '''cont_assign : lpvalue '=' expression ''' - print('cont_assign', list(p)) + print('cont_assign_1', list(p)) # { list*tmp = new list; # tmp->push_back($1); # tmp->push_back($3); @@ -4929,7 +4923,7 @@ def p_cont_assign_1(p): () def p_cont_assign_list_1(p): '''cont_assign_list : cont_assign_list ',' cont_assign ''' - print('cont_assign_list', list(p)) + print('cont_assign_list_1', list(p)) # { list*tmp = $1; # tmp->splice(tmp->end(), *$3); # delete $3; @@ -4938,12 +4932,12 @@ def p_cont_assign_list_1(p): () def p_cont_assign_list_2(p): '''cont_assign_list : cont_assign ''' - print('cont_assign_list', list(p)) + print('cont_assign_list_2', list(p)) # { $$ = $1; } () def p_module_1(p): '''module : attribute_list_opt module_start lifetime_opt IDENTIFIER _embed0_module module_package_import_list_opt module_parameter_port_list_opt module_port_list_opt module_attribute_foreign ';' _embed1_module timeunits_declaration_opt _embed2_module module_item_list_opt module_end _embed3_module endlabel_opt ''' - print('module', list(p)) + print('module_1', list(p)) # { // Last step: check any closing name. This is done late so # // that the parser can look ahead to detect the present # // endlabel_opt but still have the pform_endmodule() called @@ -5029,77 +5023,77 @@ def p__embed3_module(p): () def p_module_start_1(p): '''module_start : K_module ''' - print('module_start', list(p)) + print('module_start_1', list(p)) # { $$ = K_module; } () def p_module_start_2(p): '''module_start : K_macromodule ''' - print('module_start', list(p)) + print('module_start_2', list(p)) # { $$ = K_module; } () def p_module_start_3(p): '''module_start : K_program ''' - print('module_start', list(p)) + print('module_start_3', list(p)) # { $$ = K_program; } () def p_module_start_4(p): '''module_start : K_interface ''' - print('module_start', list(p)) + print('module_start_4', list(p)) # { $$ = K_interface; } () def p_module_end_1(p): '''module_end : K_endmodule ''' - print('module_end', list(p)) + print('module_end_1', list(p)) # { $$ = K_module; } () def p_module_end_2(p): '''module_end : K_endprogram ''' - print('module_end', list(p)) + print('module_end_2', list(p)) # { $$ = K_program; } () def p_module_end_3(p): '''module_end : K_endinterface ''' - print('module_end', list(p)) + print('module_end_3', list(p)) # { $$ = K_interface; } () def p_endlabel_opt_1(p): '''endlabel_opt : ':' IDENTIFIER ''' - print('endlabel_opt', list(p)) + print('endlabel_opt_1', list(p)) # { $$ = $2; } () def p_endlabel_opt_2(p): '''endlabel_opt : ''' - print('endlabel_opt', list(p)) + print('endlabel_opt_2', list(p)) # { $$ = 0; } () def p_module_attribute_foreign_1(p): '''module_attribute_foreign : K_PSTAR IDENTIFIER K_integer IDENTIFIER '=' STRING ';' K_STARP ''' - print('module_attribute_foreign', list(p)) + print('module_attribute_foreign_1', list(p)) # { $$ = 0; } () def p_module_attribute_foreign_2(p): '''module_attribute_foreign : ''' - print('module_attribute_foreign', list(p)) + print('module_attribute_foreign_2', list(p)) # { $$ = 0; } () def p_module_port_list_opt_1(p): '''module_port_list_opt : '(' list_of_ports ')' ''' - print('module_port_list_opt', list(p)) + print('module_port_list_opt_1', list(p)) # { $$ = $2; } () def p_module_port_list_opt_2(p): '''module_port_list_opt : '(' list_of_port_declarations ')' ''' - print('module_port_list_opt', list(p)) + print('module_port_list_opt_2', list(p)) # { $$ = $2; } () def p_module_port_list_opt_3(p): '''module_port_list_opt : ''' - print('module_port_list_opt', list(p)) + print('module_port_list_opt_3', list(p)) # { $$ = 0; } () def p_module_port_list_opt_4(p): '''module_port_list_opt : '(' error ')' ''' - print('module_port_list_opt', list(p)) + print('module_port_list_opt_4', list(p)) # { yyerror(@2, "Errors in port declarations."); # yyerrok; # $$ = 0; @@ -5107,31 +5101,31 @@ def p_module_port_list_opt_4(p): () def p_module_parameter_port_list_opt_1(p): '''module_parameter_port_list_opt : ''' - print('module_parameter_port_list_opt', list(p)) + print('module_parameter_port_list_opt_1', list(p)) () def p_module_parameter_port_list_opt_2(p): '''module_parameter_port_list_opt : '#' '(' module_parameter_port_list ')' ''' - print('module_parameter_port_list_opt', list(p)) + print('module_parameter_port_list_opt_2', list(p)) () def p_module_parameter_port_list_1(p): '''module_parameter_port_list : K_parameter param_type parameter_assign ''' - print('module_parameter_port_list', list(p)) + print('module_parameter_port_list_1', list(p)) () def p_module_parameter_port_list_2(p): '''module_parameter_port_list : module_parameter_port_list ',' parameter_assign ''' - print('module_parameter_port_list', list(p)) + print('module_parameter_port_list_2', list(p)) () def p_module_parameter_port_list_3(p): '''module_parameter_port_list : module_parameter_port_list ',' K_parameter param_type parameter_assign ''' - print('module_parameter_port_list', list(p)) + print('module_parameter_port_list_3', list(p)) () def p_module_item_1(p): '''module_item : module ''' - print('module_item', list(p)) + print('module_item_1', list(p)) () def p_module_item_2(p): '''module_item : attribute_list_opt net_type data_type_or_implicit delay3_opt net_variable_list ';' ''' - print('module_item', list(p)) + print('module_item_2', list(p)) # { data_type_t*data_type = $3; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -5147,7 +5141,7 @@ def p_module_item_2(p): () def p_module_item_3(p): '''module_item : attribute_list_opt K_wreal delay3 net_variable_list ';' ''' - print('module_item', list(p)) + print('module_item_3', list(p)) # { real_type_t*tmpt = new real_type_t(real_type_t::REAL); # pform_set_data_type(@2, tmpt, $4, NetNet::WIRE, $1); # if ($3 != 0) { @@ -5159,7 +5153,7 @@ def p_module_item_3(p): () def p_module_item_4(p): '''module_item : attribute_list_opt K_wreal net_variable_list ';' ''' - print('module_item', list(p)) + print('module_item_4', list(p)) # { real_type_t*tmpt = new real_type_t(real_type_t::REAL); # pform_set_data_type(@2, tmpt, $3, NetNet::WIRE, $1); # delete $1; @@ -5167,7 +5161,7 @@ def p_module_item_4(p): () def p_module_item_5(p): '''module_item : attribute_list_opt net_type data_type_or_implicit delay3_opt net_decl_assigns ';' ''' - print('module_item', list(p)) + print('module_item_5', list(p)) # { data_type_t*data_type = $3; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -5183,7 +5177,7 @@ def p_module_item_5(p): () def p_module_item_6(p): '''module_item : attribute_list_opt net_type data_type_or_implicit drive_strength net_decl_assigns ';' ''' - print('module_item', list(p)) + print('module_item_6', list(p)) # { data_type_t*data_type = $3; # if (data_type == 0) { # data_type = new vector_type_t(IVL_VT_LOGIC, false, 0); @@ -5199,7 +5193,7 @@ def p_module_item_6(p): () def p_module_item_7(p): '''module_item : attribute_list_opt K_wreal net_decl_assigns ';' ''' - print('module_item', list(p)) + print('module_item_7', list(p)) # { real_type_t*data_type = new real_type_t(real_type_t::REAL); # pform_makewire(@2, 0, str_strength, $3, NetNet::WIRE, data_type); # if ($1) { @@ -5211,7 +5205,7 @@ def p_module_item_7(p): () def p_module_item_8(p): '''module_item : K_trireg charge_strength_opt dimensions_opt delay3_opt list_of_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_8', list(p)) # { yyerror(@1, "sorry: trireg nets not supported."); # delete $3; # delete $4; @@ -5219,19 +5213,19 @@ def p_module_item_8(p): () def p_module_item_9(p): '''module_item : attribute_list_opt port_direction net_type data_type_or_implicit list_of_port_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_9', list(p)) # { pform_module_define_port(@2, $5, $2, $3, $4, $1); } () def p_module_item_10(p): '''module_item : attribute_list_opt port_direction K_wreal list_of_port_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_10', list(p)) # { real_type_t*real_type = new real_type_t(real_type_t::REAL); # pform_module_define_port(@2, $4, $2, NetNet::WIRE, real_type, $1); # } () def p_module_item_11(p): '''module_item : attribute_list_opt K_inout data_type_or_implicit list_of_port_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_11', list(p)) # { NetNet::Type use_type = $3 ? NetNet::IMPLICIT : NetNet::NONE; # if (vector_type_t*dtype = dynamic_cast ($3)) { # if (dtype->implicit_flag) @@ -5245,7 +5239,7 @@ def p_module_item_11(p): () def p_module_item_12(p): '''module_item : attribute_list_opt K_input data_type_or_implicit list_of_port_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_12', list(p)) # { NetNet::Type use_type = $3 ? NetNet::IMPLICIT : NetNet::NONE; # if (vector_type_t*dtype = dynamic_cast ($3)) { # if (dtype->implicit_flag) @@ -5259,7 +5253,7 @@ def p_module_item_12(p): () def p_module_item_13(p): '''module_item : attribute_list_opt K_output data_type_or_implicit list_of_variable_port_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_13', list(p)) # { NetNet::Type use_type = $3 ? NetNet::IMPLICIT : NetNet::NONE; # if (vector_type_t*dtype = dynamic_cast ($3)) { # if (dtype->implicit_flag) @@ -5289,7 +5283,7 @@ def p_module_item_13(p): () def p_module_item_14(p): '''module_item : attribute_list_opt port_direction net_type data_type_or_implicit error ';' ''' - print('module_item', list(p)) + print('module_item_14', list(p)) # { yyerror(@2, "error: Invalid variable list in port declaration."); # if ($1) delete $1; # if ($4) delete $4; @@ -5298,7 +5292,7 @@ def p_module_item_14(p): () def p_module_item_15(p): '''module_item : attribute_list_opt K_inout data_type_or_implicit error ';' ''' - print('module_item', list(p)) + print('module_item_15', list(p)) # { yyerror(@2, "error: Invalid variable list in port declaration."); # if ($1) delete $1; # if ($3) delete $3; @@ -5307,7 +5301,7 @@ def p_module_item_15(p): () def p_module_item_16(p): '''module_item : attribute_list_opt K_input data_type_or_implicit error ';' ''' - print('module_item', list(p)) + print('module_item_16', list(p)) # { yyerror(@2, "error: Invalid variable list in port declaration."); # if ($1) delete $1; # if ($3) delete $3; @@ -5316,7 +5310,7 @@ def p_module_item_16(p): () def p_module_item_17(p): '''module_item : attribute_list_opt K_output data_type_or_implicit error ';' ''' - print('module_item', list(p)) + print('module_item_17', list(p)) # { yyerror(@2, "error: Invalid variable list in port declaration."); # if ($1) delete $1; # if ($3) delete $3; @@ -5325,93 +5319,93 @@ def p_module_item_17(p): () def p_module_item_18(p): '''module_item : DISCIPLINE_IDENTIFIER list_of_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_18', list(p)) # { pform_attach_discipline(@1, $1, $2); } () def p_module_item_19(p): '''module_item : attribute_list_opt _embed0_module_item block_item_decl ''' - print('module_item', list(p)) + print('module_item_19', list(p)) # { delete attributes_in_context; # attributes_in_context = 0; # } () def p_module_item_20(p): '''module_item : K_defparam _embed1_module_item defparam_assign_list ';' ''' - print('module_item', list(p)) + print('module_item_20', list(p)) () def p_module_item_21(p): '''module_item : attribute_list_opt gatetype gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_21', list(p)) # { pform_makegates(@2, $2, str_strength, 0, $3, $1); } () def p_module_item_22(p): '''module_item : attribute_list_opt gatetype delay3 gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_22', list(p)) # { pform_makegates(@2, $2, str_strength, $3, $4, $1); } () def p_module_item_23(p): '''module_item : attribute_list_opt gatetype drive_strength gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_23', list(p)) # { pform_makegates(@2, $2, $3, 0, $4, $1); } () def p_module_item_24(p): '''module_item : attribute_list_opt gatetype drive_strength delay3 gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_24', list(p)) # { pform_makegates(@2, $2, $3, $4, $5, $1); } () def p_module_item_25(p): '''module_item : attribute_list_opt switchtype gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_25', list(p)) # { pform_makegates(@2, $2, str_strength, 0, $3, $1); } () def p_module_item_26(p): '''module_item : attribute_list_opt switchtype delay3 gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_26', list(p)) # { pform_makegates(@2, $2, str_strength, $3, $4, $1); } () def p_module_item_27(p): '''module_item : K_pullup gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_27', list(p)) # { pform_makegates(@1, PGBuiltin::PULLUP, pull_strength, 0, $2, 0); } () def p_module_item_28(p): '''module_item : K_pulldown gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_28', list(p)) # { pform_makegates(@1, PGBuiltin::PULLDOWN, pull_strength, 0, $2, 0); } () def p_module_item_29(p): '''module_item : K_pullup '(' dr_strength1 ')' gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_29', list(p)) # { pform_makegates(@1, PGBuiltin::PULLUP, $3, 0, $5, 0); } () def p_module_item_30(p): '''module_item : K_pullup '(' dr_strength1 ',' dr_strength0 ')' gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_30', list(p)) # { pform_makegates(@1, PGBuiltin::PULLUP, $3, 0, $7, 0); } () def p_module_item_31(p): '''module_item : K_pullup '(' dr_strength0 ',' dr_strength1 ')' gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_31', list(p)) # { pform_makegates(@1, PGBuiltin::PULLUP, $5, 0, $7, 0); } () def p_module_item_32(p): '''module_item : K_pulldown '(' dr_strength0 ')' gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_32', list(p)) # { pform_makegates(@1, PGBuiltin::PULLDOWN, $3, 0, $5, 0); } () def p_module_item_33(p): '''module_item : K_pulldown '(' dr_strength1 ',' dr_strength0 ')' gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_33', list(p)) # { pform_makegates(@1, PGBuiltin::PULLDOWN, $5, 0, $7, 0); } () def p_module_item_34(p): '''module_item : K_pulldown '(' dr_strength0 ',' dr_strength1 ')' gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_34', list(p)) # { pform_makegates(@1, PGBuiltin::PULLDOWN, $3, 0, $7, 0); } () def p_module_item_35(p): '''module_item : attribute_list_opt IDENTIFIER parameter_value_opt gate_instance_list ';' ''' - print('module_item', list(p)) + print('module_item_35', list(p)) # { perm_string tmp1 = lex_strings.make($2); # pform_make_modgates(@2, tmp1, $3, $4, $1); # delete[]$2; @@ -5419,7 +5413,7 @@ def p_module_item_35(p): () def p_module_item_36(p): '''module_item : attribute_list_opt IDENTIFIER parameter_value_opt error ';' ''' - print('module_item', list(p)) + print('module_item_36', list(p)) # { yyerror(@2, "error: Invalid module instantiation"); # delete[]$2; # if ($1) delete $1; @@ -5427,79 +5421,79 @@ def p_module_item_36(p): () def p_module_item_37(p): '''module_item : K_assign drive_strength_opt delay3_opt cont_assign_list ';' ''' - print('module_item', list(p)) + print('module_item_37', list(p)) # { pform_make_pgassign_list($4, $3, $2, @1.text, @1.first_line); } () def p_module_item_38(p): '''module_item : attribute_list_opt K_always statement_item ''' - print('module_item', list(p)) + print('module_item_38', list(p)) # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS, $3, $1); # FILE_NAME(tmp, @2); # } () def p_module_item_39(p): '''module_item : attribute_list_opt K_always_comb statement_item ''' - print('module_item', list(p)) + print('module_item_39', list(p)) # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_COMB, $3, $1); # FILE_NAME(tmp, @2); # } () def p_module_item_40(p): '''module_item : attribute_list_opt K_always_ff statement_item ''' - print('module_item', list(p)) + print('module_item_40', list(p)) # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_FF, $3, $1); # FILE_NAME(tmp, @2); # } () def p_module_item_41(p): '''module_item : attribute_list_opt K_always_latch statement_item ''' - print('module_item', list(p)) + print('module_item_41', list(p)) # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_LATCH, $3, $1); # FILE_NAME(tmp, @2); # } () def p_module_item_42(p): '''module_item : attribute_list_opt K_initial statement_item ''' - print('module_item', list(p)) + print('module_item_42', list(p)) # { PProcess*tmp = pform_make_behavior(IVL_PR_INITIAL, $3, $1); # FILE_NAME(tmp, @2); # } () def p_module_item_43(p): '''module_item : attribute_list_opt K_final statement_item ''' - print('module_item', list(p)) + print('module_item_43', list(p)) # { PProcess*tmp = pform_make_behavior(IVL_PR_FINAL, $3, $1); # FILE_NAME(tmp, @2); # } () def p_module_item_44(p): '''module_item : attribute_list_opt K_analog analog_statement ''' - print('module_item', list(p)) + print('module_item_44', list(p)) # { pform_make_analog_behavior(@2, IVL_PR_ALWAYS, $3); } () def p_module_item_45(p): '''module_item : attribute_list_opt assertion_item ''' - print('module_item', list(p)) + print('module_item_45', list(p)) () def p_module_item_46(p): '''module_item : timeunits_declaration ''' - print('module_item', list(p)) + print('module_item_46', list(p)) () def p_module_item_47(p): '''module_item : class_declaration ''' - print('module_item', list(p)) + print('module_item_47', list(p)) () def p_module_item_48(p): '''module_item : task_declaration ''' - print('module_item', list(p)) + print('module_item_48', list(p)) () def p_module_item_49(p): '''module_item : function_declaration ''' - print('module_item', list(p)) + print('module_item_49', list(p)) () def p_module_item_50(p): '''module_item : K_generate generate_item_list_opt K_endgenerate ''' - print('module_item', list(p)) + print('module_item_50', list(p)) # { // Test for bad nesting. I understand it, but it is illegal. # if (pform_parent_generate()) { # cerr << @1 << ": error: Generate/endgenerate regions cannot nest." << endl; @@ -5511,62 +5505,62 @@ def p_module_item_50(p): () def p_module_item_51(p): '''module_item : K_genvar list_of_identifiers ';' ''' - print('module_item', list(p)) + print('module_item_51', list(p)) # { pform_genvars(@1, $2); } () def p_module_item_52(p): '''module_item : K_for '(' IDENTIFIER '=' expression ';' expression ';' IDENTIFIER '=' expression ')' _embed2_module_item generate_block ''' - print('module_item', list(p)) + print('module_item_52', list(p)) # { pform_endgenerate(); } () def p_module_item_53(p): '''module_item : generate_if generate_block_opt K_else _embed3_module_item generate_block ''' - print('module_item', list(p)) + print('module_item_53', list(p)) # { pform_endgenerate(); } () def p_module_item_54(p): '''module_item : generate_if generate_block_opt %prec less_than_K_else ''' - print('module_item', list(p)) + print('module_item_54', list(p)) # { pform_endgenerate(); } () def p_module_item_55(p): '''module_item : K_case '(' expression ')' _embed4_module_item generate_case_items K_endcase ''' - print('module_item', list(p)) + print('module_item_55', list(p)) # { pform_endgenerate(); } () def p_module_item_56(p): '''module_item : modport_declaration ''' - print('module_item', list(p)) + print('module_item_56', list(p)) () def p_module_item_57(p): '''module_item : package_import_declaration ''' - print('module_item', list(p)) + print('module_item_57', list(p)) () def p_module_item_58(p): '''module_item : attribute_list_opt K_specparam _embed5_module_item specparam_decl ';' ''' - print('module_item', list(p)) + print('module_item_58', list(p)) () def p_module_item_59(p): '''module_item : K_specify _embed6_module_item specify_item_list_opt K_endspecify ''' - print('module_item', list(p)) + print('module_item_59', list(p)) () def p_module_item_60(p): '''module_item : K_specify error K_endspecify ''' - print('module_item', list(p)) + print('module_item_60', list(p)) # { yyerror(@1, "error: syntax error in specify block"); # yyerrok; # } () def p_module_item_61(p): '''module_item : error ';' ''' - print('module_item', list(p)) + print('module_item_61', list(p)) # { yyerror(@2, "error: invalid module item."); # yyerrok; # } () def p_module_item_62(p): '''module_item : K_assign error '=' expression ';' ''' - print('module_item', list(p)) + print('module_item_62', list(p)) # { yyerror(@1, "error: syntax error in left side " # "of continuous assignment."); # yyerrok; @@ -5574,7 +5568,7 @@ def p_module_item_62(p): () def p_module_item_63(p): '''module_item : K_assign error ';' ''' - print('module_item', list(p)) + print('module_item_63', list(p)) # { yyerror(@1, "error: syntax error in " # "continuous assignment"); # yyerrok; @@ -5582,7 +5576,7 @@ def p_module_item_63(p): () def p_module_item_64(p): '''module_item : K_function error K_endfunction endlabel_opt ''' - print('module_item', list(p)) + print('module_item_64', list(p)) # { yyerror(@1, "error: I give up on this " # "function definition."); # if ($4) { @@ -5597,7 +5591,7 @@ def p_module_item_64(p): () def p_module_item_65(p): '''module_item : KK_attribute '(' IDENTIFIER ',' STRING ',' STRING ')' ';' ''' - print('module_item', list(p)) + print('module_item_65', list(p)) # { perm_string tmp3 = lex_strings.make($3); # perm_string tmp5 = lex_strings.make($5); # pform_set_attrib(tmp3, tmp5, $7); @@ -5607,7 +5601,7 @@ def p_module_item_65(p): () def p_module_item_66(p): '''module_item : KK_attribute '(' error ')' ';' ''' - print('module_item', list(p)) + print('module_item_66', list(p)) # { yyerror(@1, "error: Malformed $attribute parameter list."); } () def p__embed0_module_item(p): @@ -5649,41 +5643,41 @@ def p__embed6_module_item(p): () def p_module_item_list_1(p): '''module_item_list : module_item_list module_item ''' - print('module_item_list', list(p)) + print('module_item_list_1', list(p)) () def p_module_item_list_2(p): '''module_item_list : module_item ''' - print('module_item_list', list(p)) + print('module_item_list_2', list(p)) () def p_module_item_list_opt_1(p): '''module_item_list_opt : module_item_list ''' - print('module_item_list_opt', list(p)) + print('module_item_list_opt_1', list(p)) () def p_module_item_list_opt_2(p): '''module_item_list_opt : ''' - print('module_item_list_opt', list(p)) + print('module_item_list_opt_2', list(p)) () def p_generate_if_1(p): '''generate_if : K_if '(' expression ')' ''' - print('generate_if', list(p)) + print('generate_if_1', list(p)) # { pform_start_generate_if(@1, $3); } () def p_generate_case_items_1(p): '''generate_case_items : generate_case_items generate_case_item ''' - print('generate_case_items', list(p)) + print('generate_case_items_1', list(p)) () def p_generate_case_items_2(p): '''generate_case_items : generate_case_item ''' - print('generate_case_items', list(p)) + print('generate_case_items_2', list(p)) () def p_generate_case_item_1(p): '''generate_case_item : expression_list_proper ':' _embed0_generate_case_item generate_block_opt ''' - print('generate_case_item', list(p)) + print('generate_case_item_1', list(p)) # { pform_endgenerate(); } () def p_generate_case_item_2(p): '''generate_case_item : K_default ':' _embed1_generate_case_item generate_block_opt ''' - print('generate_case_item', list(p)) + print('generate_case_item_2', list(p)) # { pform_endgenerate(); } () def p__embed0_generate_case_item(p): @@ -5696,11 +5690,11 @@ def p__embed1_generate_case_item(p): () def p_generate_item_1(p): '''generate_item : module_item ''' - print('generate_item', list(p)) + print('generate_item_1', list(p)) () def p_generate_item_2(p): '''generate_item : K_begin generate_item_list_opt K_end ''' - print('generate_item', list(p)) + print('generate_item_2', list(p)) # { /* Detect and warn about anachronistic begin/end use */ # if (generation_flag > GN_VER2001 && warn_anachronisms) { # warn_count += 1; @@ -5710,7 +5704,7 @@ def p_generate_item_2(p): () def p_generate_item_3(p): '''generate_item : K_begin ':' IDENTIFIER _embed0_generate_item generate_item_list_opt K_end ''' - print('generate_item', list(p)) + print('generate_item_3', list(p)) # { /* Detect and warn about anachronistic named begin/end use */ # if (generation_flag > GN_VER2001 && warn_anachronisms) { # warn_count += 1; @@ -5727,31 +5721,31 @@ def p__embed0_generate_item(p): () def p_generate_item_list_1(p): '''generate_item_list : generate_item_list generate_item ''' - print('generate_item_list', list(p)) + print('generate_item_list_1', list(p)) () def p_generate_item_list_2(p): '''generate_item_list : generate_item ''' - print('generate_item_list', list(p)) + print('generate_item_list_2', list(p)) () def p_generate_item_list_opt_1(p): '''generate_item_list_opt : generate_item_list ''' - print('generate_item_list_opt', list(p)) + print('generate_item_list_opt_1', list(p)) () def p_generate_item_list_opt_2(p): '''generate_item_list_opt : ''' - print('generate_item_list_opt', list(p)) + print('generate_item_list_opt_2', list(p)) () def p_generate_block_1(p): '''generate_block : module_item ''' - print('generate_block', list(p)) + print('generate_block_1', list(p)) () def p_generate_block_2(p): '''generate_block : K_begin generate_item_list_opt K_end ''' - print('generate_block', list(p)) + print('generate_block_2', list(p)) () def p_generate_block_3(p): '''generate_block : K_begin ':' IDENTIFIER generate_item_list_opt K_end endlabel_opt ''' - print('generate_block', list(p)) + print('generate_block_3', list(p)) # { pform_generate_block_name($3); # if ($6) { # if (strcmp($3,$6) != 0) { @@ -5769,15 +5763,15 @@ def p_generate_block_3(p): () def p_generate_block_opt_1(p): '''generate_block_opt : generate_block ''' - print('generate_block_opt', list(p)) + print('generate_block_opt_1', list(p)) () def p_generate_block_opt_2(p): '''generate_block_opt : ';' ''' - print('generate_block_opt', list(p)) + print('generate_block_opt_2', list(p)) () def p_net_decl_assign_1(p): '''net_decl_assign : IDENTIFIER '=' expression ''' - print('net_decl_assign', list(p)) + print('net_decl_assign_1', list(p)) # { net_decl_assign_t*tmp = new net_decl_assign_t; # tmp->next = tmp; # tmp->name = lex_strings.make($1); @@ -5788,7 +5782,7 @@ def p_net_decl_assign_1(p): () def p_net_decl_assigns_1(p): '''net_decl_assigns : net_decl_assigns ',' net_decl_assign ''' - print('net_decl_assigns', list(p)) + print('net_decl_assigns_1', list(p)) # { net_decl_assign_t*tmp = $1; # $3->next = tmp->next; # tmp->next = $3; @@ -5797,87 +5791,87 @@ def p_net_decl_assigns_1(p): () def p_net_decl_assigns_2(p): '''net_decl_assigns : net_decl_assign ''' - print('net_decl_assigns', list(p)) + print('net_decl_assigns_2', list(p)) # { $$ = $1; # } () def p_bit_logic_1(p): '''bit_logic : K_logic ''' - print('bit_logic', list(p)) + print('bit_logic_1', list(p)) # { $$ = IVL_VT_LOGIC; } () def p_bit_logic_2(p): '''bit_logic : K_bool ''' - print('bit_logic', list(p)) + print('bit_logic_2', list(p)) # { $$ = IVL_VT_BOOL; /* Icarus misc */} () def p_bit_logic_3(p): '''bit_logic : K_bit ''' - print('bit_logic', list(p)) + print('bit_logic_3', list(p)) # { $$ = IVL_VT_BOOL; /* IEEE1800 / IEEE1364-2009 */} () def p_bit_logic_opt_1(p): '''bit_logic_opt : bit_logic ''' - print('bit_logic_opt', list(p)) + print('bit_logic_opt_1', list(p)) () def p_bit_logic_opt_2(p): '''bit_logic_opt : ''' - print('bit_logic_opt', list(p)) + print('bit_logic_opt_2', list(p)) # { $$ = IVL_VT_NO_TYPE; } () def p_net_type_1(p): '''net_type : K_wire ''' - print('net_type', list(p)) + print('net_type_1', list(p)) # { $$ = NetNet::WIRE; } () def p_net_type_2(p): '''net_type : K_tri ''' - print('net_type', list(p)) + print('net_type_2', list(p)) # { $$ = NetNet::TRI; } () def p_net_type_3(p): '''net_type : K_tri1 ''' - print('net_type', list(p)) + print('net_type_3', list(p)) # { $$ = NetNet::TRI1; } () def p_net_type_4(p): '''net_type : K_supply0 ''' - print('net_type', list(p)) + print('net_type_4', list(p)) # { $$ = NetNet::SUPPLY0; } () def p_net_type_5(p): '''net_type : K_wand ''' - print('net_type', list(p)) + print('net_type_5', list(p)) # { $$ = NetNet::WAND; } () def p_net_type_6(p): '''net_type : K_triand ''' - print('net_type', list(p)) + print('net_type_6', list(p)) # { $$ = NetNet::TRIAND; } () def p_net_type_7(p): '''net_type : K_tri0 ''' - print('net_type', list(p)) + print('net_type_7', list(p)) # { $$ = NetNet::TRI0; } () def p_net_type_8(p): '''net_type : K_supply1 ''' - print('net_type', list(p)) + print('net_type_8', list(p)) # { $$ = NetNet::SUPPLY1; } () def p_net_type_9(p): '''net_type : K_wor ''' - print('net_type', list(p)) + print('net_type_9', list(p)) # { $$ = NetNet::WOR; } () def p_net_type_10(p): '''net_type : K_trior ''' - print('net_type', list(p)) + print('net_type_10', list(p)) # { $$ = NetNet::TRIOR; } () def p_net_type_11(p): '''net_type : K_wone ''' - print('net_type', list(p)) + print('net_type_11', list(p)) # { $$ = NetNet::UNRESOLVED_WIRE; # cerr << @1.text << ":" << @1.first_line << ": warning: " # "'wone' is deprecated, please use 'uwire' " @@ -5886,12 +5880,12 @@ def p_net_type_11(p): () def p_net_type_12(p): '''net_type : K_uwire ''' - print('net_type', list(p)) + print('net_type_12', list(p)) # { $$ = NetNet::UNRESOLVED_WIRE; } () def p_param_type_1(p): '''param_type : bit_logic_opt unsigned_signed_opt dimensions_opt ''' - print('param_type', list(p)) + print('param_type_1', list(p)) # { param_active_range = $3; # param_active_signed = $2; # if (($1 == IVL_VT_NO_TYPE) && ($3 != 0)) @@ -5902,7 +5896,7 @@ def p_param_type_1(p): () def p_param_type_2(p): '''param_type : K_integer ''' - print('param_type', list(p)) + print('param_type_2', list(p)) # { param_active_range = make_range_from_width(integer_width); # param_active_signed = true; # param_active_type = IVL_VT_LOGIC; @@ -5910,7 +5904,7 @@ def p_param_type_2(p): () def p_param_type_3(p): '''param_type : K_time ''' - print('param_type', list(p)) + print('param_type_3', list(p)) # { param_active_range = make_range_from_width(64); # param_active_signed = false; # param_active_type = IVL_VT_LOGIC; @@ -5918,7 +5912,7 @@ def p_param_type_3(p): () def p_param_type_4(p): '''param_type : real_or_realtime ''' - print('param_type', list(p)) + print('param_type_4', list(p)) # { param_active_range = 0; # param_active_signed = true; # param_active_type = IVL_VT_REAL; @@ -5926,7 +5920,7 @@ def p_param_type_4(p): () def p_param_type_5(p): '''param_type : atom2_type ''' - print('param_type', list(p)) + print('param_type_5', list(p)) # { param_active_range = make_range_from_width($1); # param_active_signed = true; # param_active_type = IVL_VT_BOOL; @@ -5934,7 +5928,7 @@ def p_param_type_5(p): () def p_param_type_6(p): '''param_type : TYPE_IDENTIFIER ''' - print('param_type', list(p)) + print('param_type_6', list(p)) # { pform_set_param_from_type(@1, $1.type, $1.text, param_active_range, # param_active_signed, param_active_type); # delete[]$1.text; @@ -5942,23 +5936,23 @@ def p_param_type_6(p): () def p_parameter_assign_list_1(p): '''parameter_assign_list : parameter_assign ''' - print('parameter_assign_list', list(p)) + print('parameter_assign_list_1', list(p)) () def p_parameter_assign_list_2(p): '''parameter_assign_list : parameter_assign_list ',' parameter_assign ''' - print('parameter_assign_list', list(p)) + print('parameter_assign_list_2', list(p)) () def p_localparam_assign_list_1(p): '''localparam_assign_list : localparam_assign ''' - print('localparam_assign_list', list(p)) + print('localparam_assign_list_1', list(p)) () def p_localparam_assign_list_2(p): '''localparam_assign_list : localparam_assign_list ',' localparam_assign ''' - print('localparam_assign_list', list(p)) + print('localparam_assign_list_2', list(p)) () def p_parameter_assign_1(p): '''parameter_assign : IDENTIFIER '=' expression parameter_value_ranges_opt ''' - print('parameter_assign', list(p)) + print('parameter_assign_1', list(p)) # { PExpr*tmp = $3; # pform_set_parameter(@1, lex_strings.make($1), param_active_type, # param_active_signed, param_active_range, tmp, $4); @@ -5967,7 +5961,7 @@ def p_parameter_assign_1(p): () def p_localparam_assign_1(p): '''localparam_assign : IDENTIFIER '=' expression ''' - print('localparam_assign', list(p)) + print('localparam_assign_1', list(p)) # { PExpr*tmp = $3; # pform_set_localparam(@1, lex_strings.make($1), param_active_type, # param_active_signed, param_active_range, tmp); @@ -5976,82 +5970,82 @@ def p_localparam_assign_1(p): () def p_parameter_value_ranges_opt_1(p): '''parameter_value_ranges_opt : parameter_value_ranges ''' - print('parameter_value_ranges_opt', list(p)) + print('parameter_value_ranges_opt_1', list(p)) # { $$ = $1; } () def p_parameter_value_ranges_opt_2(p): '''parameter_value_ranges_opt : ''' - print('parameter_value_ranges_opt', list(p)) + print('parameter_value_ranges_opt_2', list(p)) # { $$ = 0; } () def p_parameter_value_ranges_1(p): '''parameter_value_ranges : parameter_value_ranges parameter_value_range ''' - print('parameter_value_ranges', list(p)) + print('parameter_value_ranges_1', list(p)) # { $$ = $2; $$->next = $1; } () def p_parameter_value_ranges_2(p): '''parameter_value_ranges : parameter_value_range ''' - print('parameter_value_ranges', list(p)) + print('parameter_value_ranges_2', list(p)) # { $$ = $1; $$->next = 0; } () def p_parameter_value_range_1(p): '''parameter_value_range : from_exclude '[' value_range_expression ':' value_range_expression ']' ''' - print('parameter_value_range', list(p)) + print('parameter_value_range_1', list(p)) # { $$ = pform_parameter_value_range($1, false, $3, false, $5); } () def p_parameter_value_range_2(p): '''parameter_value_range : from_exclude '[' value_range_expression ':' value_range_expression ')' ''' - print('parameter_value_range', list(p)) + print('parameter_value_range_2', list(p)) # { $$ = pform_parameter_value_range($1, false, $3, true, $5); } () def p_parameter_value_range_3(p): '''parameter_value_range : from_exclude '(' value_range_expression ':' value_range_expression ']' ''' - print('parameter_value_range', list(p)) + print('parameter_value_range_3', list(p)) # { $$ = pform_parameter_value_range($1, true, $3, false, $5); } () def p_parameter_value_range_4(p): '''parameter_value_range : from_exclude '(' value_range_expression ':' value_range_expression ')' ''' - print('parameter_value_range', list(p)) + print('parameter_value_range_4', list(p)) # { $$ = pform_parameter_value_range($1, true, $3, true, $5); } () def p_parameter_value_range_5(p): '''parameter_value_range : K_exclude expression ''' - print('parameter_value_range', list(p)) + print('parameter_value_range_5', list(p)) # { $$ = pform_parameter_value_range(true, false, $2, false, $2); } () def p_value_range_expression_1(p): '''value_range_expression : expression ''' - print('value_range_expression', list(p)) + print('value_range_expression_1', list(p)) # { $$ = $1; } () def p_value_range_expression_2(p): '''value_range_expression : K_inf ''' - print('value_range_expression', list(p)) + print('value_range_expression_2', list(p)) # { $$ = 0; } () def p_value_range_expression_3(p): '''value_range_expression : '+' K_inf ''' - print('value_range_expression', list(p)) + print('value_range_expression_3', list(p)) # { $$ = 0; } () def p_value_range_expression_4(p): '''value_range_expression : '-' K_inf ''' - print('value_range_expression', list(p)) + print('value_range_expression_4', list(p)) # { $$ = 0; } () def p_from_exclude_1(p): '''from_exclude : K_from ''' - print('from_exclude', list(p)) + print('from_exclude_1', list(p)) # { $$ = false; } () def p_from_exclude_2(p): '''from_exclude : K_exclude ''' - print('from_exclude', list(p)) + print('from_exclude_2', list(p)) # { $$ = true; } () def p_parameter_value_opt_1(p): '''parameter_value_opt : '#' '(' expression_list_with_nuls ')' ''' - print('parameter_value_opt', list(p)) + print('parameter_value_opt_1', list(p)) # { struct parmvalue_t*tmp = new struct parmvalue_t; # tmp->by_order = $3; # tmp->by_name = 0; @@ -6060,7 +6054,7 @@ def p_parameter_value_opt_1(p): () def p_parameter_value_opt_2(p): '''parameter_value_opt : '#' '(' parameter_value_byname_list ')' ''' - print('parameter_value_opt', list(p)) + print('parameter_value_opt_2', list(p)) # { struct parmvalue_t*tmp = new struct parmvalue_t; # tmp->by_order = 0; # tmp->by_name = $3; @@ -6069,7 +6063,7 @@ def p_parameter_value_opt_2(p): () def p_parameter_value_opt_3(p): '''parameter_value_opt : '#' DEC_NUMBER ''' - print('parameter_value_opt', list(p)) + print('parameter_value_opt_3', list(p)) # { assert($2); # PENumber*tmp = new PENumber($2); # FILE_NAME(tmp, @1); @@ -6084,7 +6078,7 @@ def p_parameter_value_opt_3(p): () def p_parameter_value_opt_4(p): '''parameter_value_opt : '#' REALTIME ''' - print('parameter_value_opt', list(p)) + print('parameter_value_opt_4', list(p)) # { assert($2); # PEFNumber*tmp = new PEFNumber($2); # FILE_NAME(tmp, @1); @@ -6098,7 +6092,7 @@ def p_parameter_value_opt_4(p): () def p_parameter_value_opt_5(p): '''parameter_value_opt : '#' error ''' - print('parameter_value_opt', list(p)) + print('parameter_value_opt_5', list(p)) # { yyerror(@1, "error: syntax error in parameter value " # "assignment list."); # $$ = 0; @@ -6106,12 +6100,12 @@ def p_parameter_value_opt_5(p): () def p_parameter_value_opt_6(p): '''parameter_value_opt : ''' - print('parameter_value_opt', list(p)) + print('parameter_value_opt_6', list(p)) # { $$ = 0; } () def p_parameter_value_byname_1(p): '''parameter_value_byname : '.' IDENTIFIER '(' expression ')' ''' - print('parameter_value_byname', list(p)) + print('parameter_value_byname_1', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($2); # tmp->parm = $4; @@ -6121,7 +6115,7 @@ def p_parameter_value_byname_1(p): () def p_parameter_value_byname_2(p): '''parameter_value_byname : '.' IDENTIFIER '(' ')' ''' - print('parameter_value_byname', list(p)) + print('parameter_value_byname_2', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($2); # tmp->parm = 0; @@ -6131,7 +6125,7 @@ def p_parameter_value_byname_2(p): () def p_parameter_value_byname_list_1(p): '''parameter_value_byname_list : parameter_value_byname ''' - print('parameter_value_byname_list', list(p)) + print('parameter_value_byname_list_1', list(p)) # { list*tmp = new list; # tmp->push_back(*$1); # delete $1; @@ -6140,7 +6134,7 @@ def p_parameter_value_byname_list_1(p): () def p_parameter_value_byname_list_2(p): '''parameter_value_byname_list : parameter_value_byname_list ',' parameter_value_byname ''' - print('parameter_value_byname_list', list(p)) + print('parameter_value_byname_list_2', list(p)) # { list*tmp = $1; # tmp->push_back(*$3); # delete $3; @@ -6149,12 +6143,12 @@ def p_parameter_value_byname_list_2(p): () def p_port_1(p): '''port : port_reference ''' - print('port', list(p)) + print('port_1', list(p)) # { $$ = $1; } () def p_port_2(p): '''port : '.' IDENTIFIER '(' port_reference ')' ''' - print('port', list(p)) + print('port_2', list(p)) # { Module::port_t*tmp = $4; # tmp->name = lex_strings.make($2); # delete[]$2; @@ -6163,7 +6157,7 @@ def p_port_2(p): () def p_port_3(p): '''port : '{' port_reference_list '}' ''' - print('port', list(p)) + print('port_3', list(p)) # { Module::port_t*tmp = $2; # tmp->name = perm_string(); # $$ = tmp; @@ -6171,7 +6165,7 @@ def p_port_3(p): () def p_port_4(p): '''port : '.' IDENTIFIER '(' '{' port_reference_list '}' ')' ''' - print('port', list(p)) + print('port_4', list(p)) # { Module::port_t*tmp = $5; # tmp->name = lex_strings.make($2); # delete[]$2; @@ -6180,17 +6174,17 @@ def p_port_4(p): () def p_port_opt_1(p): '''port_opt : port ''' - print('port_opt', list(p)) + print('port_opt_1', list(p)) # { $$ = $1; } () def p_port_opt_2(p): '''port_opt : ''' - print('port_opt', list(p)) + print('port_opt_2', list(p)) # { $$ = 0; } () def p_port_name_1(p): '''port_name : '.' IDENTIFIER '(' expression ')' ''' - print('port_name', list(p)) + print('port_name_1', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($2); # tmp->parm = $4; @@ -6200,7 +6194,7 @@ def p_port_name_1(p): () def p_port_name_2(p): '''port_name : '.' IDENTIFIER '(' error ')' ''' - print('port_name', list(p)) + print('port_name_2', list(p)) # { yyerror(@3, "error: invalid port connection expression."); # named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($2); @@ -6211,7 +6205,7 @@ def p_port_name_2(p): () def p_port_name_3(p): '''port_name : '.' IDENTIFIER '(' ')' ''' - print('port_name', list(p)) + print('port_name_3', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($2); # tmp->parm = 0; @@ -6221,7 +6215,7 @@ def p_port_name_3(p): () def p_port_name_4(p): '''port_name : '.' IDENTIFIER ''' - print('port_name', list(p)) + print('port_name_4', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make($2); # tmp->parm = new PEIdent(lex_strings.make($2), true); @@ -6232,7 +6226,7 @@ def p_port_name_4(p): () def p_port_name_5(p): '''port_name : K_DOTSTAR ''' - print('port_name', list(p)) + print('port_name_5', list(p)) # { named_pexpr_t*tmp = new named_pexpr_t; # tmp->name = lex_strings.make("*"); # tmp->parm = 0; @@ -6241,7 +6235,7 @@ def p_port_name_5(p): () def p_port_name_list_1(p): '''port_name_list : port_name_list ',' port_name ''' - print('port_name_list', list(p)) + print('port_name_list_1', list(p)) # { list*tmp = $1; # tmp->push_back(*$3); # delete $3; @@ -6250,7 +6244,7 @@ def p_port_name_list_1(p): () def p_port_name_list_2(p): '''port_name_list : port_name ''' - print('port_name_list', list(p)) + print('port_name_list_2', list(p)) # { list*tmp = new list; # tmp->push_back(*$1); # delete $1; @@ -6259,7 +6253,7 @@ def p_port_name_list_2(p): () def p_port_reference_1(p): '''port_reference : IDENTIFIER ''' - print('port_reference', list(p)) + print('port_reference_1', list(p)) # { Module::port_t*ptmp; # perm_string name = lex_strings.make($1); # ptmp = pform_module_port_reference(name, @1.text, @1.first_line); @@ -6269,7 +6263,7 @@ def p_port_reference_1(p): () def p_port_reference_2(p): '''port_reference : IDENTIFIER '[' expression ':' expression ']' ''' - print('port_reference', list(p)) + print('port_reference_2', list(p)) # { index_component_t itmp; # itmp.sel = index_component_t::SEL_PART; # itmp.msb = $3; @@ -6294,7 +6288,7 @@ def p_port_reference_2(p): () def p_port_reference_3(p): '''port_reference : IDENTIFIER '[' expression ']' ''' - print('port_reference', list(p)) + print('port_reference_3', list(p)) # { index_component_t itmp; # itmp.sel = index_component_t::SEL_BIT; # itmp.msb = $3; @@ -6318,7 +6312,7 @@ def p_port_reference_3(p): () def p_port_reference_4(p): '''port_reference : IDENTIFIER '[' error ']' ''' - print('port_reference', list(p)) + print('port_reference_4', list(p)) # { yyerror(@1, "error: invalid port bit select"); # Module::port_t*ptmp = new Module::port_t; # PEIdent*wtmp = new PEIdent(lex_strings.make($1)); @@ -6331,12 +6325,12 @@ def p_port_reference_4(p): () def p_port_reference_list_1(p): '''port_reference_list : port_reference ''' - print('port_reference_list', list(p)) + print('port_reference_list_1', list(p)) # { $$ = $1; } () def p_port_reference_list_2(p): '''port_reference_list : port_reference_list ',' port_reference ''' - print('port_reference_list', list(p)) + print('port_reference_list_2', list(p)) # { Module::port_t*tmp = $1; # append(tmp->expr, $3->expr); # delete $3; @@ -6345,22 +6339,22 @@ def p_port_reference_list_2(p): () def p_dimensions_opt_1(p): '''dimensions_opt : ''' - print('dimensions_opt', list(p)) + print('dimensions_opt_1', list(p)) # { $$ = 0; } () def p_dimensions_opt_2(p): '''dimensions_opt : dimensions ''' - print('dimensions_opt', list(p)) + print('dimensions_opt_2', list(p)) # { $$ = $1; } () def p_dimensions_1(p): '''dimensions : variable_dimension ''' - print('dimensions', list(p)) + print('dimensions_1', list(p)) # { $$ = $1; } () def p_dimensions_2(p): '''dimensions : dimensions variable_dimension ''' - print('dimensions', list(p)) + print('dimensions_2', list(p)) # { list *tmp = $1; # if ($2) { # tmp->splice(tmp->end(), *$2); @@ -6371,7 +6365,7 @@ def p_dimensions_2(p): () def p_register_variable_1(p): '''register_variable : IDENTIFIER dimensions_opt ''' - print('register_variable', list(p)) + print('register_variable_1', list(p)) # { perm_string name = lex_strings.make($1); # pform_makewire(@1, name, NetNet::REG, # NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0); @@ -6381,7 +6375,7 @@ def p_register_variable_1(p): () def p_register_variable_2(p): '''register_variable : IDENTIFIER dimensions_opt '=' expression ''' - print('register_variable', list(p)) + print('register_variable_2', list(p)) # { if (pform_peek_scope()->var_init_needs_explicit_lifetime() # && (var_lifetime == LexicalScope::INHERITED)) { # cerr << @3 << ": warning: Static variable initialization requires " @@ -6398,7 +6392,7 @@ def p_register_variable_2(p): () def p_register_variable_list_1(p): '''register_variable_list : register_variable ''' - print('register_variable_list', list(p)) + print('register_variable_list_1', list(p)) # { list*tmp = new list; # tmp->push_back(lex_strings.make($1)); # $$ = tmp; @@ -6407,7 +6401,7 @@ def p_register_variable_list_1(p): () def p_register_variable_list_2(p): '''register_variable_list : register_variable_list ',' register_variable ''' - print('register_variable_list', list(p)) + print('register_variable_list_2', list(p)) # { list*tmp = $1; # tmp->push_back(lex_strings.make($3)); # $$ = tmp; @@ -6416,7 +6410,7 @@ def p_register_variable_list_2(p): () def p_net_variable_1(p): '''net_variable : IDENTIFIER dimensions_opt ''' - print('net_variable', list(p)) + print('net_variable_1', list(p)) # { perm_string name = lex_strings.make($1); # pform_makewire(@1, name, NetNet::IMPLICIT, # NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0); @@ -6426,7 +6420,7 @@ def p_net_variable_1(p): () def p_net_variable_list_1(p): '''net_variable_list : net_variable ''' - print('net_variable_list', list(p)) + print('net_variable_list_1', list(p)) # { list*tmp = new list; # tmp->push_back(lex_strings.make($1)); # $$ = tmp; @@ -6435,7 +6429,7 @@ def p_net_variable_list_1(p): () def p_net_variable_list_2(p): '''net_variable_list : net_variable_list ',' net_variable ''' - print('net_variable_list', list(p)) + print('net_variable_list_2', list(p)) # { list*tmp = $1; # tmp->push_back(lex_strings.make($3)); # $$ = tmp; @@ -6444,7 +6438,7 @@ def p_net_variable_list_2(p): () def p_event_variable_1(p): '''event_variable : IDENTIFIER dimensions_opt ''' - print('event_variable', list(p)) + print('event_variable_1', list(p)) # { if ($2) { # yyerror(@2, "sorry: event arrays are not supported."); # delete $2; @@ -6454,33 +6448,33 @@ def p_event_variable_1(p): () def p_event_variable_list_1(p): '''event_variable_list : event_variable ''' - print('event_variable_list', list(p)) + print('event_variable_list_1', list(p)) # { $$ = list_from_identifier($1); } () def p_event_variable_list_2(p): '''event_variable_list : event_variable_list ',' event_variable ''' - print('event_variable_list', list(p)) + print('event_variable_list_2', list(p)) # { $$ = list_from_identifier($1, $3); } () def p_specify_item_1(p): '''specify_item : K_specparam specparam_decl ';' ''' - print('specify_item', list(p)) + print('specify_item_1', list(p)) () def p_specify_item_2(p): '''specify_item : specify_simple_path_decl ';' ''' - print('specify_item', list(p)) + print('specify_item_2', list(p)) # { pform_module_specify_path($1); # } () def p_specify_item_3(p): '''specify_item : specify_edge_path_decl ';' ''' - print('specify_item', list(p)) + print('specify_item_3', list(p)) # { pform_module_specify_path($1); # } () def p_specify_item_4(p): '''specify_item : K_if '(' expression ')' specify_simple_path_decl ';' ''' - print('specify_item', list(p)) + print('specify_item_4', list(p)) # { PSpecPath*tmp = $5; # if (tmp) { # tmp->conditional = true; @@ -6491,7 +6485,7 @@ def p_specify_item_4(p): () def p_specify_item_5(p): '''specify_item : K_if '(' expression ')' specify_edge_path_decl ';' ''' - print('specify_item', list(p)) + print('specify_item_5', list(p)) # { PSpecPath*tmp = $5; # if (tmp) { # tmp->conditional = true; @@ -6502,7 +6496,7 @@ def p_specify_item_5(p): () def p_specify_item_6(p): '''specify_item : K_ifnone specify_simple_path_decl ';' ''' - print('specify_item', list(p)) + print('specify_item_6', list(p)) # { PSpecPath*tmp = $2; # if (tmp) { # tmp->conditional = true; @@ -6513,7 +6507,7 @@ def p_specify_item_6(p): () def p_specify_item_7(p): '''specify_item : K_ifnone specify_edge_path_decl ';' ''' - print('specify_item', list(p)) + print('specify_item_7', list(p)) # { yyerror(@1, "Sorry: ifnone with an edge-sensitive path is " # "not supported."); # yyerrok; @@ -6521,137 +6515,137 @@ def p_specify_item_7(p): () def p_specify_item_8(p): '''specify_item : K_Sfullskew '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_8', list(p)) # { delete $7; # delete $9; # } () def p_specify_item_9(p): '''specify_item : K_Shold '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_9', list(p)) # { delete $7; # } () def p_specify_item_10(p): '''specify_item : K_Snochange '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_10', list(p)) # { delete $7; # delete $9; # } () def p_specify_item_11(p): '''specify_item : K_Speriod '(' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_11', list(p)) # { delete $5; # } () def p_specify_item_12(p): '''specify_item : K_Srecovery '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_12', list(p)) # { delete $7; # } () def p_specify_item_13(p): '''specify_item : K_Srecrem '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_13', list(p)) # { delete $7; # delete $9; # } () def p_specify_item_14(p): '''specify_item : K_Sremoval '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_14', list(p)) # { delete $7; # } () def p_specify_item_15(p): '''specify_item : K_Ssetup '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_15', list(p)) # { delete $7; # } () def p_specify_item_16(p): '''specify_item : K_Ssetuphold '(' spec_reference_event ',' spec_reference_event ',' delay_value ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_16', list(p)) # { delete $7; # delete $9; # } () def p_specify_item_17(p): '''specify_item : K_Sskew '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_17', list(p)) # { delete $7; # } () def p_specify_item_18(p): '''specify_item : K_Stimeskew '(' spec_reference_event ',' spec_reference_event ',' delay_value spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_18', list(p)) # { delete $7; # } () def p_specify_item_19(p): '''specify_item : K_Swidth '(' spec_reference_event ',' delay_value ',' expression spec_notifier_opt ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_19', list(p)) # { delete $5; # delete $7; # } () def p_specify_item_20(p): '''specify_item : K_Swidth '(' spec_reference_event ',' delay_value ')' ';' ''' - print('specify_item', list(p)) + print('specify_item_20', list(p)) # { delete $5; # } () def p_specify_item_21(p): '''specify_item : K_pulsestyle_onevent specify_path_identifiers ';' ''' - print('specify_item', list(p)) + print('specify_item_21', list(p)) # { delete $2; # } () def p_specify_item_22(p): '''specify_item : K_pulsestyle_ondetect specify_path_identifiers ';' ''' - print('specify_item', list(p)) + print('specify_item_22', list(p)) # { delete $2; # } () def p_specify_item_23(p): '''specify_item : K_showcancelled specify_path_identifiers ';' ''' - print('specify_item', list(p)) + print('specify_item_23', list(p)) # { delete $2; # } () def p_specify_item_24(p): '''specify_item : K_noshowcancelled specify_path_identifiers ';' ''' - print('specify_item', list(p)) + print('specify_item_24', list(p)) # { delete $2; # } () def p_specify_item_list_1(p): '''specify_item_list : specify_item ''' - print('specify_item_list', list(p)) + print('specify_item_list_1', list(p)) () def p_specify_item_list_2(p): '''specify_item_list : specify_item_list specify_item ''' - print('specify_item_list', list(p)) + print('specify_item_list_2', list(p)) () def p_specify_item_list_opt_1(p): '''specify_item_list_opt : ''' - print('specify_item_list_opt', list(p)) + print('specify_item_list_opt_1', list(p)) # { } () def p_specify_item_list_opt_2(p): '''specify_item_list_opt : specify_item_list ''' - print('specify_item_list_opt', list(p)) + print('specify_item_list_opt_2', list(p)) # { } () def p_specify_edge_path_decl_1(p): '''specify_edge_path_decl : specify_edge_path '=' '(' delay_value_list ')' ''' - print('specify_edge_path_decl', list(p)) + print('specify_edge_path_decl_1', list(p)) # { $$ = pform_assign_path_delay($1, $4); } () def p_specify_edge_path_decl_2(p): '''specify_edge_path_decl : specify_edge_path '=' delay_value_simple ''' - print('specify_edge_path_decl', list(p)) + print('specify_edge_path_decl_2', list(p)) # { list*tmp = new list; # tmp->push_back($3); # $$ = pform_assign_path_delay($1, tmp); @@ -6659,58 +6653,58 @@ def p_specify_edge_path_decl_2(p): () def p_edge_operator_1(p): '''edge_operator : K_posedge ''' - print('edge_operator', list(p)) + print('edge_operator_1', list(p)) # { $$ = true; } () def p_edge_operator_2(p): '''edge_operator : K_negedge ''' - print('edge_operator', list(p)) + print('edge_operator_2', list(p)) # { $$ = false; } () def p_specify_edge_path_1(p): '''specify_edge_path : '(' specify_path_identifiers spec_polarity K_EG '(' specify_path_identifiers polarity_operator expression ')' ')' ''' - print('specify_edge_path', list(p)) + print('specify_edge_path_1', list(p)) # { int edge_flag = 0; # $$ = pform_make_specify_edge_path(@1, edge_flag, $2, $3, false, $6, $8); } () def p_specify_edge_path_2(p): '''specify_edge_path : '(' edge_operator specify_path_identifiers spec_polarity K_EG '(' specify_path_identifiers polarity_operator expression ')' ')' ''' - print('specify_edge_path', list(p)) + print('specify_edge_path_2', list(p)) # { int edge_flag = $2? 1 : -1; # $$ = pform_make_specify_edge_path(@1, edge_flag, $3, $4, false, $7, $9);} () def p_specify_edge_path_3(p): '''specify_edge_path : '(' specify_path_identifiers spec_polarity K_SG '(' specify_path_identifiers polarity_operator expression ')' ')' ''' - print('specify_edge_path', list(p)) + print('specify_edge_path_3', list(p)) # { int edge_flag = 0; # $$ = pform_make_specify_edge_path(@1, edge_flag, $2, $3, true, $6, $8); } () def p_specify_edge_path_4(p): '''specify_edge_path : '(' edge_operator specify_path_identifiers spec_polarity K_SG '(' specify_path_identifiers polarity_operator expression ')' ')' ''' - print('specify_edge_path', list(p)) + print('specify_edge_path_4', list(p)) # { int edge_flag = $2? 1 : -1; # $$ = pform_make_specify_edge_path(@1, edge_flag, $3, $4, true, $7, $9); } () def p_polarity_operator_1(p): '''polarity_operator : K_PO_POS ''' - print('polarity_operator', list(p)) + print('polarity_operator_1', list(p)) () def p_polarity_operator_2(p): '''polarity_operator : K_PO_NEG ''' - print('polarity_operator', list(p)) + print('polarity_operator_2', list(p)) () def p_polarity_operator_3(p): '''polarity_operator : ':' ''' - print('polarity_operator', list(p)) + print('polarity_operator_3', list(p)) () def p_specify_simple_path_decl_1(p): '''specify_simple_path_decl : specify_simple_path '=' '(' delay_value_list ')' ''' - print('specify_simple_path_decl', list(p)) + print('specify_simple_path_decl_1', list(p)) # { $$ = pform_assign_path_delay($1, $4); } () def p_specify_simple_path_decl_2(p): '''specify_simple_path_decl : specify_simple_path '=' delay_value_simple ''' - print('specify_simple_path_decl', list(p)) + print('specify_simple_path_decl_2', list(p)) # { list*tmp = new list; # tmp->push_back($3); # $$ = pform_assign_path_delay($1, tmp); @@ -6718,7 +6712,7 @@ def p_specify_simple_path_decl_2(p): () def p_specify_simple_path_decl_3(p): '''specify_simple_path_decl : specify_simple_path '=' '(' error ')' ''' - print('specify_simple_path_decl', list(p)) + print('specify_simple_path_decl_3', list(p)) # { yyerror(@3, "Syntax error in delay value list."); # yyerrok; # $$ = 0; @@ -6726,24 +6720,24 @@ def p_specify_simple_path_decl_3(p): () def p_specify_simple_path_1(p): '''specify_simple_path : '(' specify_path_identifiers spec_polarity K_EG specify_path_identifiers ')' ''' - print('specify_simple_path', list(p)) + print('specify_simple_path_1', list(p)) # { $$ = pform_make_specify_path(@1, $2, $3, false, $5); } () def p_specify_simple_path_2(p): '''specify_simple_path : '(' specify_path_identifiers spec_polarity K_SG specify_path_identifiers ')' ''' - print('specify_simple_path', list(p)) + print('specify_simple_path_2', list(p)) # { $$ = pform_make_specify_path(@1, $2, $3, true, $5); } () def p_specify_simple_path_3(p): '''specify_simple_path : '(' error ')' ''' - print('specify_simple_path', list(p)) + print('specify_simple_path_3', list(p)) # { yyerror(@1, "Invalid simple path"); # yyerrok; # } () def p_specify_path_identifiers_1(p): '''specify_path_identifiers : IDENTIFIER ''' - print('specify_path_identifiers', list(p)) + print('specify_path_identifiers_1', list(p)) # { list*tmp = new list; # tmp->push_back(lex_strings.make($1)); # $$ = tmp; @@ -6752,7 +6746,7 @@ def p_specify_path_identifiers_1(p): () def p_specify_path_identifiers_2(p): '''specify_path_identifiers : IDENTIFIER '[' expr_primary ']' ''' - print('specify_path_identifiers', list(p)) + print('specify_path_identifiers_2', list(p)) # { if (gn_specify_blocks_flag) { # yywarn(@4, "Bit selects are not currently supported " # "in path declarations. The declaration " @@ -6766,7 +6760,7 @@ def p_specify_path_identifiers_2(p): () def p_specify_path_identifiers_3(p): '''specify_path_identifiers : IDENTIFIER '[' expr_primary polarity_operator expr_primary ']' ''' - print('specify_path_identifiers', list(p)) + print('specify_path_identifiers_3', list(p)) # { if (gn_specify_blocks_flag) { # yywarn(@4, "Part selects are not currently supported " # "in path declarations. The declaration " @@ -6780,7 +6774,7 @@ def p_specify_path_identifiers_3(p): () def p_specify_path_identifiers_4(p): '''specify_path_identifiers : specify_path_identifiers ',' IDENTIFIER ''' - print('specify_path_identifiers', list(p)) + print('specify_path_identifiers_4', list(p)) # { list*tmp = $1; # tmp->push_back(lex_strings.make($3)); # $$ = tmp; @@ -6789,7 +6783,7 @@ def p_specify_path_identifiers_4(p): () def p_specify_path_identifiers_5(p): '''specify_path_identifiers : specify_path_identifiers ',' IDENTIFIER '[' expr_primary ']' ''' - print('specify_path_identifiers', list(p)) + print('specify_path_identifiers_5', list(p)) # { if (gn_specify_blocks_flag) { # yywarn(@4, "Bit selects are not currently supported " # "in path declarations. The declaration " @@ -6803,7 +6797,7 @@ def p_specify_path_identifiers_5(p): () def p_specify_path_identifiers_6(p): '''specify_path_identifiers : specify_path_identifiers ',' IDENTIFIER '[' expr_primary polarity_operator expr_primary ']' ''' - print('specify_path_identifiers', list(p)) + print('specify_path_identifiers_6', list(p)) # { if (gn_specify_blocks_flag) { # yywarn(@4, "Part selects are not currently supported " # "in path declarations. The declaration " @@ -6817,7 +6811,7 @@ def p_specify_path_identifiers_6(p): () def p_specparam_1(p): '''specparam : IDENTIFIER '=' expression ''' - print('specparam', list(p)) + print('specparam_1', list(p)) # { PExpr*tmp = $3; # pform_set_specparam(@1, lex_strings.make($1), # param_active_range, tmp); @@ -6826,7 +6820,7 @@ def p_specparam_1(p): () def p_specparam_2(p): '''specparam : IDENTIFIER '=' expression ':' expression ':' expression ''' - print('specparam', list(p)) + print('specparam_2', list(p)) # { PExpr*tmp = 0; # switch (min_typ_max_flag) { # case MIN: @@ -6868,14 +6862,14 @@ def p_specparam_2(p): () def p_specparam_3(p): '''specparam : PATHPULSE_IDENTIFIER '=' expression ''' - print('specparam', list(p)) + print('specparam_3', list(p)) # { delete[]$1; # delete $3; # } () def p_specparam_4(p): '''specparam : PATHPULSE_IDENTIFIER '=' '(' expression ',' expression ')' ''' - print('specparam', list(p)) + print('specparam_4', list(p)) # { delete[]$1; # delete $4; # delete $6; @@ -6883,19 +6877,19 @@ def p_specparam_4(p): () def p_specparam_list_1(p): '''specparam_list : specparam ''' - print('specparam_list', list(p)) + print('specparam_list_1', list(p)) () def p_specparam_list_2(p): '''specparam_list : specparam_list ',' specparam ''' - print('specparam_list', list(p)) + print('specparam_list_2', list(p)) () def p_specparam_decl_1(p): '''specparam_decl : specparam_list ''' - print('specparam_decl', list(p)) + print('specparam_decl_1', list(p)) () def p_specparam_decl_2(p): '''specparam_decl : dimensions _embed0_specparam_decl specparam_list ''' - print('specparam_decl', list(p)) + print('specparam_decl_2', list(p)) # { param_active_range = 0; } () def p__embed0_specparam_decl(p): @@ -6904,103 +6898,103 @@ def p__embed0_specparam_decl(p): () def p_spec_polarity_1(p): '''spec_polarity : '+' ''' - print('spec_polarity', list(p)) + print('spec_polarity_1', list(p)) # { $$ = '+'; } () def p_spec_polarity_2(p): '''spec_polarity : '-' ''' - print('spec_polarity', list(p)) + print('spec_polarity_2', list(p)) # { $$ = '-'; } () def p_spec_polarity_3(p): '''spec_polarity : ''' - print('spec_polarity', list(p)) + print('spec_polarity_3', list(p)) # { $$ = 0; } () def p_spec_reference_event_1(p): '''spec_reference_event : K_posedge expression ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_1', list(p)) # { delete $2; } () def p_spec_reference_event_2(p): '''spec_reference_event : K_negedge expression ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_2', list(p)) # { delete $2; } () def p_spec_reference_event_3(p): '''spec_reference_event : K_posedge expr_primary K_TAND expression ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_3', list(p)) # { delete $2; # delete $4; # } () def p_spec_reference_event_4(p): '''spec_reference_event : K_negedge expr_primary K_TAND expression ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_4', list(p)) # { delete $2; # delete $4; # } () def p_spec_reference_event_5(p): '''spec_reference_event : K_edge '[' edge_descriptor_list ']' expr_primary ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_5', list(p)) # { delete $5; } () def p_spec_reference_event_6(p): '''spec_reference_event : K_edge '[' edge_descriptor_list ']' expr_primary K_TAND expression ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_6', list(p)) # { delete $5; # delete $7; # } () def p_spec_reference_event_7(p): '''spec_reference_event : expr_primary K_TAND expression ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_7', list(p)) # { delete $1; # delete $3; # } () def p_spec_reference_event_8(p): '''spec_reference_event : expr_primary ''' - print('spec_reference_event', list(p)) + print('spec_reference_event_8', list(p)) # { delete $1; } () def p_edge_descriptor_list_1(p): '''edge_descriptor_list : edge_descriptor_list ',' K_edge_descriptor ''' - print('edge_descriptor_list', list(p)) + print('edge_descriptor_list_1', list(p)) () def p_edge_descriptor_list_2(p): '''edge_descriptor_list : K_edge_descriptor ''' - print('edge_descriptor_list', list(p)) + print('edge_descriptor_list_2', list(p)) () def p_spec_notifier_opt_1(p): '''spec_notifier_opt : ''' - print('spec_notifier_opt', list(p)) + print('spec_notifier_opt_1', list(p)) # { } () def p_spec_notifier_opt_2(p): '''spec_notifier_opt : spec_notifier ''' - print('spec_notifier_opt', list(p)) + print('spec_notifier_opt_2', list(p)) # { } () def p_spec_notifier_1(p): '''spec_notifier : ',' ''' - print('spec_notifier', list(p)) + print('spec_notifier_1', list(p)) # { args_after_notifier = 0; } () def p_spec_notifier_2(p): '''spec_notifier : ',' hierarchy_identifier ''' - print('spec_notifier', list(p)) + print('spec_notifier_2', list(p)) # { args_after_notifier = 0; delete $2; } () def p_spec_notifier_3(p): '''spec_notifier : spec_notifier ',' ''' - print('spec_notifier', list(p)) + print('spec_notifier_3', list(p)) # { args_after_notifier += 1; } () def p_spec_notifier_4(p): '''spec_notifier : spec_notifier ',' hierarchy_identifier ''' - print('spec_notifier', list(p)) + print('spec_notifier_4', list(p)) # { args_after_notifier += 1; # if (args_after_notifier >= 3) { # cerr << @3 << ": warning: timing checks are not supported " @@ -7011,12 +7005,12 @@ def p_spec_notifier_4(p): () def p_spec_notifier_5(p): '''spec_notifier : IDENTIFIER ''' - print('spec_notifier', list(p)) + print('spec_notifier_5', list(p)) # { args_after_notifier = 0; delete[]$1; } () def p_statement_item_1(p): '''statement_item : K_assign lpvalue '=' expression ';' ''' - print('statement_item1', list(p)) + print('statement_item_1', list(p)) # { PCAssign*tmp = new PCAssign($2, $4); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7024,7 +7018,7 @@ def p_statement_item_1(p): () def p_statement_item_2(p): '''statement_item : K_deassign lpvalue ';' ''' - print('statement_item2', list(p)) + print('statement_item_2', list(p)) # { PDeassign*tmp = new PDeassign($2); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7032,7 +7026,7 @@ def p_statement_item_2(p): () def p_statement_item_3(p): '''statement_item : K_force lpvalue '=' expression ';' ''' - print('statement_item3', list(p)) + print('statement_item_3', list(p)) # { PForce*tmp = new PForce($2, $4); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7040,7 +7034,7 @@ def p_statement_item_3(p): () def p_statement_item_4(p): '''statement_item : K_release lpvalue ';' ''' - print('statement_item4', list(p)) + print('statement_item_4', list(p)) # { PRelease*tmp = new PRelease($2); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7048,7 +7042,7 @@ def p_statement_item_4(p): () def p_statement_item_5(p): '''statement_item : K_begin K_end ''' - print('statement_item5', list(p)) + print('statement_item_5', list(p)) # { PBlock*tmp = new PBlock(PBlock::BL_SEQ); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7056,7 +7050,7 @@ def p_statement_item_5(p): () def p_statement_item_6(p): '''statement_item : K_begin _embed0_statement_item block_item_decls_opt _embed1_statement_item statement_or_null_list K_end ''' - print('statement_item6', list(p)) + print('statement_item_6', list(p)) # { PBlock*tmp; # if ($3) { # pform_pop_scope(); @@ -7074,7 +7068,7 @@ def p_statement_item_6(p): () def p_statement_item_7(p): '''statement_item : K_begin ':' IDENTIFIER _embed2_statement_item block_item_decls_opt statement_or_null_list_opt K_end endlabel_opt ''' - print('statement_item7', list(p)) + print('statement_item_7', list(p)) # { pform_pop_scope(); # assert(! current_block_stack.empty()); # PBlock*tmp = current_block_stack.top(); @@ -7097,7 +7091,7 @@ def p_statement_item_7(p): () def p_statement_item_8(p): '''statement_item : K_fork join_keyword ''' - print('statement_item7', list(p)) + print('statement_item_8', list(p)) # { PBlock*tmp = new PBlock($2); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7105,7 +7099,7 @@ def p_statement_item_8(p): () def p_statement_item_9(p): '''statement_item : K_fork _embed3_statement_item block_item_decls_opt _embed4_statement_item statement_or_null_list join_keyword ''' - print('statement_item9', list(p)) + print('statement_item_9', list(p)) # { PBlock*tmp; # if ($3) { # pform_pop_scope(); @@ -7124,7 +7118,7 @@ def p_statement_item_9(p): () def p_statement_item_10(p): '''statement_item : K_fork ':' IDENTIFIER _embed5_statement_item block_item_decls_opt statement_or_null_list_opt join_keyword endlabel_opt ''' - print('statement_item10', list(p)) + print('statement_item_10', list(p)) # { pform_pop_scope(); # assert(! current_block_stack.empty()); # PBlock*tmp = current_block_stack.top(); @@ -7148,7 +7142,7 @@ def p_statement_item_10(p): () def p_statement_item_11(p): '''statement_item : K_disable hierarchy_identifier ';' ''' - print('statement_item11', list(p)) + print('statement_item_11', list(p)) # { PDisable*tmp = new PDisable(*$2); # FILE_NAME(tmp, @1); # delete $2; @@ -7157,7 +7151,7 @@ def p_statement_item_11(p): () def p_statement_item_12(p): '''statement_item : K_disable K_fork ';' ''' - print('statement_item12', list(p)) + print('statement_item_12', list(p)) # { pform_name_t tmp_name; # PDisable*tmp = new PDisable(tmp_name); # FILE_NAME(tmp, @1); @@ -7166,7 +7160,7 @@ def p_statement_item_12(p): () def p_statement_item_13(p): '''statement_item : K_TRIGGER hierarchy_identifier ';' ''' - print('statement_item13', list(p)) + print('statement_item_13', list(p)) # { PTrigger*tmp = new PTrigger(*$2); # FILE_NAME(tmp, @1); # delete $2; @@ -7175,22 +7169,22 @@ def p_statement_item_13(p): () def p_statement_item_14(p): '''statement_item : procedural_assertion_statement ''' - print('statement_item14', list(p)) + print('statement_item_14', list(p)) # { $$ = $1; } () def p_statement_item_15(p): '''statement_item : loop_statement ''' - print('statement_item15', list(p)) + print('statement_item_15', list(p)) # { $$ = $1; } () def p_statement_item_16(p): '''statement_item : jump_statement ''' - print('statement_item16', list(p)) + print('statement_item_16', list(p)) # { $$ = $1; } () def p_statement_item_17(p): '''statement_item : K_case '(' expression ')' case_items K_endcase ''' - print('statement_item17', list(p)) + print('statement_item_17', list(p)) # { PCase*tmp = new PCase(NetCase::EQ, $3, $5); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7198,7 +7192,7 @@ def p_statement_item_17(p): () def p_statement_item_18(p): '''statement_item : K_casex '(' expression ')' case_items K_endcase ''' - print('statement_item', list(p)) + print('statement_item_18', list(p)) # { PCase*tmp = new PCase(NetCase::EQX, $3, $5); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7206,7 +7200,7 @@ def p_statement_item_18(p): () def p_statement_item_19(p): '''statement_item : K_casez '(' expression ')' case_items K_endcase ''' - print('statement_item', list(p)) + print('statement_item_19', list(p)) # { PCase*tmp = new PCase(NetCase::EQZ, $3, $5); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7214,22 +7208,22 @@ def p_statement_item_19(p): () def p_statement_item_20(p): '''statement_item : K_case '(' expression ')' error K_endcase ''' - print('statement_item', list(p)) + print('statement_item_20', list(p)) # { yyerrok; } () def p_statement_item_21(p): '''statement_item : K_casex '(' expression ')' error K_endcase ''' - print('statement_item', list(p)) + print('statement_item_21', list(p)) # { yyerrok; } () def p_statement_item_22(p): '''statement_item : K_casez '(' expression ')' error K_endcase ''' - print('statement_item', list(p)) + print('statement_item_22', list(p)) # { yyerrok; } () def p_statement_item_23(p): '''statement_item : K_if '(' expression ')' statement_or_null %prec less_than_K_else ''' - print('statement_item', list(p)) + print('statement_item_23', list(p)) # { PCondit*tmp = new PCondit($3, $5, 0); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7237,7 +7231,7 @@ def p_statement_item_23(p): () def p_statement_item_24(p): '''statement_item : K_if '(' expression ')' statement_or_null K_else statement_or_null ''' - print('statement_item', list(p)) + print('statement_item_24', list(p)) # { PCondit*tmp = new PCondit($3, $5, $7); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7245,31 +7239,31 @@ def p_statement_item_24(p): () def p_statement_item_25(p): '''statement_item : K_if '(' error ')' statement_or_null %prec less_than_K_else ''' - print('statement_item', list(p)) + print('statement_item_25', list(p)) # { yyerror(@1, "error: Malformed conditional expression."); # $$ = $5; # } () def p_statement_item_26(p): '''statement_item : K_if '(' error ')' statement_or_null K_else statement_or_null ''' - print('statement_item', list(p)) + print('statement_item_26', list(p)) # { yyerror(@1, "error: Malformed conditional expression."); # $$ = $5; # } () def p_statement_item_27(p): '''statement_item : compressed_statement ';' ''' - print('statement_item', list(p)) + print('statement_item_27', list(p)) # { $$ = $1; } () def p_statement_item_28(p): '''statement_item : inc_or_dec_expression ';' ''' - print('statement_item', list(p)) + print('statement_item_28', list(p)) # { $$ = pform_compressed_assign_from_inc_dec(@1, $1); } () def p_statement_item_29(p): '''statement_item : delay1 statement_or_null ''' - print('statement_item', list(p)) + print('statement_item_29', list(p)) # { PExpr*del = $1->front(); # assert($1->size() == 1); # delete $1; @@ -7280,7 +7274,7 @@ def p_statement_item_29(p): () def p_statement_item_30(p): '''statement_item : event_control statement_or_null ''' - print('statement_item', list(p)) + print('statement_item_30', list(p)) # { PEventStatement*tmp = $1; # if (tmp == 0) { # yyerror(@1, "error: Invalid event control."); @@ -7293,7 +7287,7 @@ def p_statement_item_30(p): () def p_statement_item_31(p): '''statement_item : '@' '*' statement_or_null ''' - print('statement_item', list(p)) + print('statement_item_31', list(p)) # { PEventStatement*tmp = new PEventStatement; # FILE_NAME(tmp, @1); # tmp->set_statement($3); @@ -7302,7 +7296,7 @@ def p_statement_item_31(p): () def p_statement_item_32(p): '''statement_item : '@' '(' '*' ')' statement_or_null ''' - print('statement_item', list(p)) + print('statement_item_32', list(p)) # { PEventStatement*tmp = new PEventStatement; # FILE_NAME(tmp, @1); # tmp->set_statement($5); @@ -7326,7 +7320,7 @@ def p_statement_item_33(p): () def p_statement_item_34(p): '''statement_item : error '=' expression ';' ''' - print('statement_item', list(p)) + print('statement_item_34', list(p)) # { yyerror(@2, "Syntax in assignment statement l-value."); # yyerrok; # $$ = new PNoop; @@ -7334,7 +7328,7 @@ def p_statement_item_34(p): () def p_statement_item_35(p): '''statement_item : lpvalue K_LE expression ';' ''' - print('statement_item', list(p)) + print('statement_item_35', list(p)) # { PAssignNB*tmp = new PAssignNB($1,$3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7342,7 +7336,7 @@ def p_statement_item_35(p): () def p_statement_item_36(p): '''statement_item : error K_LE expression ';' ''' - print('statement_item', list(p)) + print('statement_item_36', list(p)) # { yyerror(@2, "Syntax in assignment statement l-value."); # yyerrok; # $$ = new PNoop; @@ -7350,7 +7344,7 @@ def p_statement_item_36(p): () def p_statement_item_37(p): '''statement_item : lpvalue '=' delay1 expression ';' ''' - print('statement_item', list(p)) + print('statement_item_37', list(p)) # { PExpr*del = $3->front(); $3->pop_front(); # assert($3->empty()); # PAssign*tmp = new PAssign($1,del,$4); @@ -7360,7 +7354,7 @@ def p_statement_item_37(p): () def p_statement_item_38(p): '''statement_item : lpvalue K_LE delay1 expression ';' ''' - print('statement_item', list(p)) + print('statement_item_38', list(p)) # { PExpr*del = $3->front(); $3->pop_front(); # assert($3->empty()); # PAssignNB*tmp = new PAssignNB($1,del,$4); @@ -7370,7 +7364,7 @@ def p_statement_item_38(p): () def p_statement_item_39(p): '''statement_item : lpvalue '=' event_control expression ';' ''' - print('statement_item', list(p)) + print('statement_item_39', list(p)) # { PAssign*tmp = new PAssign($1,0,$3,$4); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7378,7 +7372,7 @@ def p_statement_item_39(p): () def p_statement_item_40(p): '''statement_item : lpvalue '=' K_repeat '(' expression ')' event_control expression ';' ''' - print('statement_item', list(p)) + print('statement_item_40', list(p)) # { PAssign*tmp = new PAssign($1,$5,$7,$8); # FILE_NAME(tmp,@1); # tmp->set_lineno(@1.first_line); @@ -7387,7 +7381,7 @@ def p_statement_item_40(p): () def p_statement_item_41(p): '''statement_item : lpvalue K_LE event_control expression ';' ''' - print('statement_item', list(p)) + print('statement_item_41', list(p)) # { PAssignNB*tmp = new PAssignNB($1,0,$3,$4); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7395,7 +7389,7 @@ def p_statement_item_41(p): () def p_statement_item_42(p): '''statement_item : lpvalue K_LE K_repeat '(' expression ')' event_control expression ';' ''' - print('statement_item', list(p)) + print('statement_item_42', list(p)) # { PAssignNB*tmp = new PAssignNB($1,$5,$7,$8); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7403,7 +7397,7 @@ def p_statement_item_42(p): () def p_statement_item_43(p): '''statement_item : lpvalue '=' dynamic_array_new ';' ''' - print('statement_item', list(p)) + print('statement_item_43', list(p)) # { PAssign*tmp = new PAssign($1,$3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7411,7 +7405,7 @@ def p_statement_item_43(p): () def p_statement_item_44(p): '''statement_item : lpvalue '=' class_new ';' ''' - print('statement_item', list(p)) + print('statement_item_44', list(p)) # { PAssign*tmp = new PAssign($1,$3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7419,7 +7413,7 @@ def p_statement_item_44(p): () def p_statement_item_45(p): '''statement_item : K_wait '(' expression ')' statement_or_null ''' - print('statement_item', list(p)) + print('statement_item_45', list(p)) # { PEventStatement*tmp; # PEEvent*etmp = new PEEvent(PEEvent::POSITIVE, $3); # tmp = new PEventStatement(etmp); @@ -7430,7 +7424,7 @@ def p_statement_item_45(p): () def p_statement_item_46(p): '''statement_item : K_wait K_fork ';' ''' - print('statement_item', list(p)) + print('statement_item_46', list(p)) # { PEventStatement*tmp = new PEventStatement((PEEvent*)0); # FILE_NAME(tmp,@1); # $$ = tmp; @@ -7438,7 +7432,7 @@ def p_statement_item_46(p): () def p_statement_item_47(p): '''statement_item : SYSTEM_IDENTIFIER '(' expression_list_with_nuls ')' ';' ''' - print('statement_item', list(p)) + print('statement_item_47', list(p)) # { PCallTask*tmp = new PCallTask(lex_strings.make($1), *$3); # FILE_NAME(tmp,@1); # delete[]$1; @@ -7448,7 +7442,7 @@ def p_statement_item_47(p): () def p_statement_item_48(p): '''statement_item : SYSTEM_IDENTIFIER ';' ''' - print('statement_item', list(p)) + print('statement_item_48', list(p)) # { listpt; # PCallTask*tmp = new PCallTask(lex_strings.make($1), pt); # FILE_NAME(tmp,@1); @@ -7458,7 +7452,7 @@ def p_statement_item_48(p): () def p_statement_item_49(p): '''statement_item : hierarchy_identifier '(' expression_list_with_nuls ')' ';' ''' - print('statement_item', list(p)) + print('statement_item_49', list(p)) # { PCallTask*tmp = pform_make_call_task(@1, *$1, *$3); # delete $1; # delete $3; @@ -7467,7 +7461,7 @@ def p_statement_item_49(p): () def p_statement_item_50(p): '''statement_item : hierarchy_identifier K_with '{' constraint_block_item_list_opt '}' ';' ''' - print('statement_item', list(p)) + print('statement_item_50', list(p)) # { /* ....randomize with { } */ # if ($1 && peek_tail_name(*$1) == "randomize") { # if (!gn_system_verilog()) @@ -7486,7 +7480,7 @@ def p_statement_item_50(p): () def p_statement_item_51(p): '''statement_item : implicit_class_handle '.' hierarchy_identifier '(' expression_list_with_nuls ')' ';' ''' - print('statement_item', list(p)) + print('statement_item_51', list(p)) # { pform_name_t*t_name = $1; # while (! $3->empty()) { # t_name->push_back($3->front()); @@ -7502,7 +7496,7 @@ def p_statement_item_51(p): () def p_statement_item_52(p): '''statement_item : hierarchy_identifier ';' ''' - print('statement_item', list(p)) + print('statement_item_52', list(p)) # { listpt; # PCallTask*tmp = pform_make_call_task(@1, *$1, pt); # delete $1; @@ -7511,7 +7505,7 @@ def p_statement_item_52(p): () def p_statement_item_53(p): '''statement_item : implicit_class_handle '.' K_new '(' expression_list_with_nuls ')' ';' ''' - print('statement_item', list(p)) + print('statement_item_53', list(p)) # { PChainConstructor*tmp = new PChainConstructor(*$5); # FILE_NAME(tmp, @3); # delete $1; @@ -7520,7 +7514,7 @@ def p_statement_item_53(p): () def p_statement_item_54(p): '''statement_item : hierarchy_identifier '(' error ')' ';' ''' - print('statement_item', list(p)) + print('statement_item_54', list(p)) # { yyerror(@3, "error: Syntax error in task arguments."); # listpt; # PCallTask*tmp = pform_make_call_task(@1, *$1, pt); @@ -7530,7 +7524,7 @@ def p_statement_item_54(p): () def p_statement_item_55(p): '''statement_item : error ';' ''' - print('statement_item', list(p)) + print('statement_item_55', list(p)) # { yyerror(@2, "error: malformed statement"); # yyerrok; # $$ = new PNoop; @@ -7600,7 +7594,7 @@ def p__embed5_statement_item(p): () def p_compressed_statement_1(p): '''compressed_statement : lpvalue K_PLUS_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_1', list(p)) # { PAssign*tmp = new PAssign($1, '+', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7608,7 +7602,7 @@ def p_compressed_statement_1(p): () def p_compressed_statement_2(p): '''compressed_statement : lpvalue K_MINUS_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_2', list(p)) # { PAssign*tmp = new PAssign($1, '-', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7616,7 +7610,7 @@ def p_compressed_statement_2(p): () def p_compressed_statement_3(p): '''compressed_statement : lpvalue K_MUL_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_3', list(p)) # { PAssign*tmp = new PAssign($1, '*', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7624,7 +7618,7 @@ def p_compressed_statement_3(p): () def p_compressed_statement_4(p): '''compressed_statement : lpvalue K_DIV_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_4', list(p)) # { PAssign*tmp = new PAssign($1, '/', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7632,7 +7626,7 @@ def p_compressed_statement_4(p): () def p_compressed_statement_5(p): '''compressed_statement : lpvalue K_MOD_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_5', list(p)) # { PAssign*tmp = new PAssign($1, '%', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7640,7 +7634,7 @@ def p_compressed_statement_5(p): () def p_compressed_statement_6(p): '''compressed_statement : lpvalue K_AND_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_6', list(p)) # { PAssign*tmp = new PAssign($1, '&', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7648,7 +7642,7 @@ def p_compressed_statement_6(p): () def p_compressed_statement_7(p): '''compressed_statement : lpvalue K_OR_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_7', list(p)) # { PAssign*tmp = new PAssign($1, '|', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7656,7 +7650,7 @@ def p_compressed_statement_7(p): () def p_compressed_statement_8(p): '''compressed_statement : lpvalue K_XOR_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_8', list(p)) # { PAssign*tmp = new PAssign($1, '^', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7664,7 +7658,7 @@ def p_compressed_statement_8(p): () def p_compressed_statement_9(p): '''compressed_statement : lpvalue K_LS_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_9', list(p)) # { PAssign *tmp = new PAssign($1, 'l', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7672,7 +7666,7 @@ def p_compressed_statement_9(p): () def p_compressed_statement_10(p): '''compressed_statement : lpvalue K_RS_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_10', list(p)) # { PAssign*tmp = new PAssign($1, 'r', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7680,7 +7674,7 @@ def p_compressed_statement_10(p): () def p_compressed_statement_11(p): '''compressed_statement : lpvalue K_RSS_EQ expression ''' - print('compressed_statement', list(p)) + print('compressed_statement_11', list(p)) # { PAssign *tmp = new PAssign($1, 'R', $3); # FILE_NAME(tmp, @1); # $$ = tmp; @@ -7688,17 +7682,17 @@ def p_compressed_statement_11(p): () def p_statement_or_null_list_opt_1(p): '''statement_or_null_list_opt : statement_or_null_list ''' - print('statement_or_null_list_opt', list(p)) + print('statement_or_null_list_opt_1', list(p)) # { $$ = $1; } () def p_statement_or_null_list_opt_2(p): '''statement_or_null_list_opt : ''' - print('statement_or_null_list_opt', list(p)) + print('statement_or_null_list_opt_2', list(p)) # { $$ = 0; } () def p_statement_or_null_list_1(p): '''statement_or_null_list : statement_or_null_list statement_or_null ''' - print('statement_or_null_list', list(p)) + print('statement_or_null_list_1', list(p)) # { vector*tmp = $1; # if ($2) tmp->push_back($2); # $$ = tmp; @@ -7706,7 +7700,7 @@ def p_statement_or_null_list_1(p): () def p_statement_or_null_list_2(p): '''statement_or_null_list : statement_or_null ''' - print('statement_or_null_list', list(p)) + print('statement_or_null_list_2', list(p)) # { vector*tmp = new vector(0); # if ($1) tmp->push_back($1); # $$ = tmp; @@ -7714,22 +7708,22 @@ def p_statement_or_null_list_2(p): () def p_analog_statement_1(p): '''analog_statement : branch_probe_expression K_CONTRIBUTE expression ';' ''' - print('analog_statement', list(p)) + print('analog_statement_1', list(p)) # { $$ = pform_contribution_statement(@2, $1, $3); } () def p_task_item_1(p): '''task_item : block_item_decl ''' - print('task_item', list(p)) + print('task_item_1', list(p)) # { $$ = new vector(0); } () def p_task_item_2(p): '''task_item : tf_port_declaration ''' - print('task_item', list(p)) + print('task_item_2', list(p)) # { $$ = $1; } () def p_task_item_list_1(p): '''task_item_list : task_item_list task_item ''' - print('task_item_list', list(p)) + print('task_item_list_1', list(p)) # { vector*tmp = $1; # size_t s1 = tmp->size(); # tmp->resize(s1 + $2->size()); @@ -7741,39 +7735,39 @@ def p_task_item_list_1(p): () def p_task_item_list_2(p): '''task_item_list : task_item ''' - print('task_item_list', list(p)) + print('task_item_list_2', list(p)) # { $$ = $1; } () def p_task_item_list_opt_1(p): '''task_item_list_opt : task_item_list ''' - print('task_item_list_opt', list(p)) + print('task_item_list_opt_1', list(p)) # { $$ = $1; } () def p_task_item_list_opt_2(p): '''task_item_list_opt : ''' - print('task_item_list_opt', list(p)) + print('task_item_list_opt_2', list(p)) # { $$ = 0; } () def p_tf_port_list_opt_1(p): '''tf_port_list_opt : tf_port_list ''' - print('tf_port_list_opt', list(p)) + print('tf_port_list_opt_1', list(p)) # { $$ = $1; } () def p_tf_port_list_opt_2(p): '''tf_port_list_opt : ''' - print('tf_port_list_opt', list(p)) + print('tf_port_list_opt_2', list(p)) # { $$ = 0; } () def p_udp_body_1(p): '''udp_body : K_table udp_entry_list K_endtable ''' - print('udp_body', list(p)) + print('udp_body_1', list(p)) # { lex_end_table(); # $$ = $2; # } () def p_udp_body_2(p): '''udp_body : K_table K_endtable ''' - print('udp_body', list(p)) + print('udp_body_2', list(p)) # { lex_end_table(); # yyerror(@1, "error: Empty UDP table."); # $$ = 0; @@ -7781,7 +7775,7 @@ def p_udp_body_2(p): () def p_udp_body_3(p): '''udp_body : K_table error K_endtable ''' - print('udp_body', list(p)) + print('udp_body_3', list(p)) # { lex_end_table(); # yyerror(@2, "Errors in UDP table"); # yyerrok; @@ -7790,15 +7784,15 @@ def p_udp_body_3(p): () def p_udp_entry_list_1(p): '''udp_entry_list : udp_comb_entry_list ''' - print('udp_entry_list', list(p)) + print('udp_entry_list_1', list(p)) () def p_udp_entry_list_2(p): '''udp_entry_list : udp_sequ_entry_list ''' - print('udp_entry_list', list(p)) + print('udp_entry_list_2', list(p)) () def p_udp_comb_entry_1(p): '''udp_comb_entry : udp_input_list ':' udp_output_sym ';' ''' - print('udp_comb_entry', list(p)) + print('udp_comb_entry_1', list(p)) # { char*tmp = new char[strlen($1)+3]; # strcpy(tmp, $1); # char*tp = tmp+strlen(tmp); @@ -7811,7 +7805,7 @@ def p_udp_comb_entry_1(p): () def p_udp_comb_entry_list_1(p): '''udp_comb_entry_list : udp_comb_entry ''' - print('udp_comb_entry_list', list(p)) + print('udp_comb_entry_list_1', list(p)) # { list*tmp = new list; # tmp->push_back($1); # delete[]$1; @@ -7820,7 +7814,7 @@ def p_udp_comb_entry_list_1(p): () def p_udp_comb_entry_list_2(p): '''udp_comb_entry_list : udp_comb_entry_list udp_comb_entry ''' - print('udp_comb_entry_list', list(p)) + print('udp_comb_entry_list_2', list(p)) # { list*tmp = $1; # tmp->push_back($2); # delete[]$2; @@ -7829,7 +7823,7 @@ def p_udp_comb_entry_list_2(p): () def p_udp_sequ_entry_list_1(p): '''udp_sequ_entry_list : udp_sequ_entry ''' - print('udp_sequ_entry_list', list(p)) + print('udp_sequ_entry_list_1', list(p)) # { list*tmp = new list; # tmp->push_back($1); # delete[]$1; @@ -7838,7 +7832,7 @@ def p_udp_sequ_entry_list_1(p): () def p_udp_sequ_entry_list_2(p): '''udp_sequ_entry_list : udp_sequ_entry_list udp_sequ_entry ''' - print('udp_sequ_entry_list', list(p)) + print('udp_sequ_entry_list_2', list(p)) # { list*tmp = $1; # tmp->push_back($2); # delete[]$2; @@ -7847,7 +7841,7 @@ def p_udp_sequ_entry_list_2(p): () def p_udp_sequ_entry_1(p): '''udp_sequ_entry : udp_input_list ':' udp_input_sym ':' udp_output_sym ';' ''' - print('udp_sequ_entry', list(p)) + print('udp_sequ_entry_1', list(p)) # { char*tmp = new char[strlen($1)+5]; # strcpy(tmp, $1); # char*tp = tmp+strlen(tmp); @@ -7861,7 +7855,7 @@ def p_udp_sequ_entry_1(p): () def p_udp_initial_1(p): '''udp_initial : K_initial IDENTIFIER '=' number ';' ''' - print('udp_initial', list(p)) + print('udp_initial_1', list(p)) # { PExpr*etmp = new PENumber($4); # PEIdent*itmp = new PEIdent(lex_strings.make($2)); # PAssign*atmp = new PAssign(itmp, etmp); @@ -7872,17 +7866,17 @@ def p_udp_initial_1(p): () def p_udp_init_opt_1(p): '''udp_init_opt : udp_initial ''' - print('udp_init_opt', list(p)) + print('udp_init_opt_1', list(p)) # { $$ = $1; } () def p_udp_init_opt_2(p): '''udp_init_opt : ''' - print('udp_init_opt', list(p)) + print('udp_init_opt_2', list(p)) # { $$ = 0; } () def p_udp_input_list_1(p): '''udp_input_list : udp_input_sym ''' - print('udp_input_list', list(p)) + print('udp_input_list_1', list(p)) # { char*tmp = new char[2]; # tmp[0] = $1; # tmp[1] = 0; @@ -7891,7 +7885,7 @@ def p_udp_input_list_1(p): () def p_udp_input_list_2(p): '''udp_input_list : udp_input_list udp_input_sym ''' - print('udp_input_list', list(p)) + print('udp_input_list_2', list(p)) # { char*tmp = new char[strlen($1)+2]; # strcpy(tmp, $1); # char*tp = tmp+strlen(tmp); @@ -7903,157 +7897,157 @@ def p_udp_input_list_2(p): () def p_udp_input_sym_1(p): '''udp_input_sym : '0' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_1', list(p)) # { $$ = '0'; } () def p_udp_input_sym_2(p): '''udp_input_sym : '1' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_2', list(p)) # { $$ = '1'; } () def p_udp_input_sym_3(p): '''udp_input_sym : 'x' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_3', list(p)) # { $$ = 'x'; } () def p_udp_input_sym_4(p): '''udp_input_sym : '?' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_4', list(p)) # { $$ = '?'; } () def p_udp_input_sym_5(p): '''udp_input_sym : 'b' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_5', list(p)) # { $$ = 'b'; } () def p_udp_input_sym_6(p): '''udp_input_sym : '*' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_6', list(p)) # { $$ = '*'; } () def p_udp_input_sym_7(p): '''udp_input_sym : '%' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_7', list(p)) # { $$ = '%'; } () def p_udp_input_sym_8(p): '''udp_input_sym : 'f' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_8', list(p)) # { $$ = 'f'; } () def p_udp_input_sym_9(p): '''udp_input_sym : 'F' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_9', list(p)) # { $$ = 'F'; } () def p_udp_input_sym_10(p): '''udp_input_sym : 'l' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_10', list(p)) # { $$ = 'l'; } () def p_udp_input_sym_11(p): '''udp_input_sym : 'h' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_11', list(p)) # { $$ = 'h'; } () def p_udp_input_sym_12(p): '''udp_input_sym : 'B' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_12', list(p)) # { $$ = 'B'; } () def p_udp_input_sym_13(p): '''udp_input_sym : 'r' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_13', list(p)) # { $$ = 'r'; } () def p_udp_input_sym_14(p): '''udp_input_sym : 'R' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_14', list(p)) # { $$ = 'R'; } () def p_udp_input_sym_15(p): '''udp_input_sym : 'M' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_15', list(p)) # { $$ = 'M'; } () def p_udp_input_sym_16(p): '''udp_input_sym : 'n' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_16', list(p)) # { $$ = 'n'; } () def p_udp_input_sym_17(p): '''udp_input_sym : 'N' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_17', list(p)) # { $$ = 'N'; } () def p_udp_input_sym_18(p): '''udp_input_sym : 'p' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_18', list(p)) # { $$ = 'p'; } () def p_udp_input_sym_19(p): '''udp_input_sym : 'P' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_19', list(p)) # { $$ = 'P'; } () def p_udp_input_sym_20(p): '''udp_input_sym : 'Q' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_20', list(p)) # { $$ = 'Q'; } () def p_udp_input_sym_21(p): '''udp_input_sym : 'q' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_21', list(p)) # { $$ = 'q'; } () def p_udp_input_sym_22(p): '''udp_input_sym : '_' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_22', list(p)) # { $$ = '_'; } () def p_udp_input_sym_23(p): '''udp_input_sym : '+' ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_23', list(p)) # { $$ = '+'; } () def p_udp_input_sym_24(p): '''udp_input_sym : DEC_NUMBER ''' - print('udp_input_sym', list(p)) + print('udp_input_sym_24', list(p)) # { yyerror(@1, "internal error: Input digits parse as decimal number!"); $$ = '0'; } () def p_udp_output_sym_1(p): '''udp_output_sym : '0' ''' - print('udp_output_sym', list(p)) + print('udp_output_sym_1', list(p)) # { $$ = '0'; } () def p_udp_output_sym_2(p): '''udp_output_sym : '1' ''' - print('udp_output_sym', list(p)) + print('udp_output_sym_2', list(p)) # { $$ = '1'; } () def p_udp_output_sym_3(p): '''udp_output_sym : 'x' ''' - print('udp_output_sym', list(p)) + print('udp_output_sym_3', list(p)) # { $$ = 'x'; } () def p_udp_output_sym_4(p): '''udp_output_sym : '-' ''' - print('udp_output_sym', list(p)) + print('udp_output_sym_4', list(p)) # { $$ = '-'; } () def p_udp_output_sym_5(p): '''udp_output_sym : DEC_NUMBER ''' - print('udp_output_sym', list(p)) + print('udp_output_sym_5', list(p)) # { yyerror(@1, "internal error: Output digits parse as decimal number!"); $$ = '0'; } () def p_udp_port_decl_1(p): '''udp_port_decl : K_input list_of_identifiers ';' ''' - print('udp_port_decl', list(p)) + print('udp_port_decl_1', list(p)) # { $$ = pform_make_udp_input_ports($2); } () def p_udp_port_decl_2(p): '''udp_port_decl : K_output IDENTIFIER ';' ''' - print('udp_port_decl', list(p)) + print('udp_port_decl_2', list(p)) # { perm_string pname = lex_strings.make($2); # PWire*pp = new PWire(pname, NetNet::IMPLICIT, NetNet::POUTPUT, IVL_VT_LOGIC); # vector*tmp = new vector(1); @@ -8064,7 +8058,7 @@ def p_udp_port_decl_2(p): () def p_udp_port_decl_3(p): '''udp_port_decl : K_reg IDENTIFIER ';' ''' - print('udp_port_decl', list(p)) + print('udp_port_decl_3', list(p)) # { perm_string pname = lex_strings.make($2); # PWire*pp = new PWire(pname, NetNet::REG, NetNet::PIMPLICIT, IVL_VT_LOGIC); # vector*tmp = new vector(1); @@ -8075,7 +8069,7 @@ def p_udp_port_decl_3(p): () def p_udp_port_decl_4(p): '''udp_port_decl : K_reg K_output IDENTIFIER ';' ''' - print('udp_port_decl', list(p)) + print('udp_port_decl_4', list(p)) # { perm_string pname = lex_strings.make($3); # PWire*pp = new PWire(pname, NetNet::REG, NetNet::POUTPUT, IVL_VT_LOGIC); # vector*tmp = new vector(1); @@ -8086,12 +8080,12 @@ def p_udp_port_decl_4(p): () def p_udp_port_decls_1(p): '''udp_port_decls : udp_port_decl ''' - print('udp_port_decls', list(p)) + print('udp_port_decls_1', list(p)) # { $$ = $1; } () def p_udp_port_decls_2(p): '''udp_port_decls : udp_port_decls udp_port_decl ''' - print('udp_port_decls', list(p)) + print('udp_port_decls_2', list(p)) # { vector*tmp = $1; # size_t s1 = $1->size(); # tmp->resize(s1+$2->size()); @@ -8103,7 +8097,7 @@ def p_udp_port_decls_2(p): () def p_udp_port_list_1(p): '''udp_port_list : IDENTIFIER ''' - print('udp_port_list', list(p)) + print('udp_port_list_1', list(p)) # { list*tmp = new list; # tmp->push_back(lex_strings.make($1)); # delete[]$1; @@ -8112,7 +8106,7 @@ def p_udp_port_list_1(p): () def p_udp_port_list_2(p): '''udp_port_list : udp_port_list ',' IDENTIFIER ''' - print('udp_port_list', list(p)) + print('udp_port_list_2', list(p)) # { list*tmp = $1; # tmp->push_back(lex_strings.make($3)); # delete[]$3; @@ -8121,27 +8115,27 @@ def p_udp_port_list_2(p): () def p_udp_reg_opt_1(p): '''udp_reg_opt : K_reg ''' - print('udp_reg_opt', list(p)) + print('udp_reg_opt_1', list(p)) # { $$ = true; } () def p_udp_reg_opt_2(p): '''udp_reg_opt : ''' - print('udp_reg_opt', list(p)) + print('udp_reg_opt_2', list(p)) # { $$ = false; } () def p_udp_initial_expr_opt_1(p): '''udp_initial_expr_opt : '=' expression ''' - print('udp_initial_expr_opt', list(p)) + print('udp_initial_expr_opt_1', list(p)) # { $$ = $2; } () def p_udp_initial_expr_opt_2(p): '''udp_initial_expr_opt : ''' - print('udp_initial_expr_opt', list(p)) + print('udp_initial_expr_opt_2', list(p)) # { $$ = 0; } () def p_udp_input_declaration_list_1(p): '''udp_input_declaration_list : K_input IDENTIFIER ''' - print('udp_input_declaration_list', list(p)) + print('udp_input_declaration_list_1', list(p)) # { list*tmp = new list; # tmp->push_back(lex_strings.make($2)); # $$ = tmp; @@ -8150,7 +8144,7 @@ def p_udp_input_declaration_list_1(p): () def p_udp_input_declaration_list_2(p): '''udp_input_declaration_list : udp_input_declaration_list ',' K_input IDENTIFIER ''' - print('udp_input_declaration_list', list(p)) + print('udp_input_declaration_list_2', list(p)) # { list*tmp = $1; # tmp->push_back(lex_strings.make($4)); # $$ = tmp; @@ -8159,7 +8153,7 @@ def p_udp_input_declaration_list_2(p): () def p_udp_primitive_1(p): '''udp_primitive : K_primitive IDENTIFIER '(' udp_port_list ')' ';' udp_port_decls udp_init_opt udp_body K_endprimitive endlabel_opt ''' - print('udp_primitive', list(p)) + print('udp_primitive_1', list(p)) # { perm_string tmp2 = lex_strings.make($2); # pform_make_udp(tmp2, $4, $7, $9, $8, # @2.text, @2.first_line); @@ -8179,7 +8173,7 @@ def p_udp_primitive_1(p): () def p_udp_primitive_2(p): '''udp_primitive : K_primitive IDENTIFIER '(' K_output udp_reg_opt IDENTIFIER udp_initial_expr_opt ',' udp_input_declaration_list ')' ';' udp_body K_endprimitive endlabel_opt ''' - print('udp_primitive', list(p)) + print('udp_primitive_2', list(p)) # { perm_string tmp2 = lex_strings.make($2); # perm_string tmp6 = lex_strings.make($6); # pform_make_udp(tmp2, $5, tmp6, $7, $9, $12,