cleanup, add example output
[sv2nmigen.git] / examples / counter.py
2019-11-01 Tobias Platencleanup, add example output