cleanup, add example output
[sv2nmigen.git] / examples /
drwxr-xr-x   ..
-rw-r--r-- 351 assignment.py
-rw-r--r-- 72 assignment.sv
-rw-r--r-- 872 counter.py
-rw-r--r-- 341 counter.sv
-rw-r--r-- 341 test_assignment.py