Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / frontends /
2022-05-17 Miodrag MilanovićMerge pull request #3310 from robinsonb5-PRs/master master
2022-05-16 Jannis HarderMerge pull request #3314 from jix/sva_value_change_logi...
2022-05-11 Jannis Harderverific: Use new value change logic also for $stable...
2022-05-09 Jannis HarderMerge pull request #3305 from jix/sva_value_change_logic
2022-05-09 Jannis HarderMerge pull request #3297 from jix/sva_nested_clk_else
2022-05-09 Jannis Harderverific: Improve logic generated for SVA value change...
2022-05-03 Jannis Harderverific: Fix conditions of SVAs with explicit clocks...
2022-04-29 Miodrag MilanovićMerge pull request #3294 from YosysHQ/micko/verific_mer...
2022-04-29 Miodrag MilanovicIgnore merging past ffs that we are not properly merging
2022-04-25 Jannis HarderMerge pull request #3257 from jix/tribuf-formal
2022-04-18 Miodrag MilanovićMerge pull request #3280 from YosysHQ/micko/fix_readaiw
2022-04-18 Miodrag Milanovicverific: allow memories to be inferred in loops (vhdl)
2022-04-18 Miodrag MilanovićMerge pull request #3282 from nakengelhardt/verific_loo...
2022-04-15 N. Engelhardtverific: allow memories to be inferred in loops
2022-04-07 CatherineMerge pull request #3269 from YosysHQ/micko/fix_autotop
2022-04-05 Zachary Snowsv: fix always_comb auto nosync for nested and function...
2022-04-01 Miodrag MilanovićMerge pull request #3262 from YosysHQ/micko/verific_hiernet
2022-04-01 Miodrag MilanovicPreserve internal wires for external nets
2022-03-30 Miodrag MilanovićMerge pull request #3259 from YosysHQ/micko/verific_val...
2022-03-30 Miodrag MilanovicFix valgrind tests when using verific
2022-03-30 Miodrag MilanovićMerge pull request #3250 from YosysHQ/micko/verific_con...
2022-03-28 LoftyMerge pull request #3194 from Ravenslofty/abc9-flow3mfs
2022-03-26 Miodrag MilanovicProperly mark modules imported
2022-03-25 Miodrag MilanovicImport verific netlist in consistent order
2022-03-14 Claire XenMerge pull request #3213 from antonblanchard/abc-typo
2022-03-07 Miodrag MilanovićMerge pull request #3210 from rqou/json-signed
2022-03-04 Miodrag MilanovićMerge pull request #3186 from nakengelhardt/smtbmc_sby_...
2022-03-04 Miodrag MilanovićMerge pull request #3206 from YosysHQ/micko/quote_remove
2022-03-04 Miodrag MilanovićMerge pull request #3207 from nakengelhardt/json_escape...
2022-02-22 Claire XenMerge pull request #3197 from YosysHQ/claire/smtbmcfix
2022-02-18 N. Engelhardtfix handling of escaped chars in json backend and frontend
2022-02-16 Miodrag MilanovicRemove quotes if any from attribute
2022-02-14 Zachary Snowverilog: support for time scale delay values
2022-02-14 Kamil RakoczyFix access to whole sub-structs (#3086)
2022-02-11 Zachary Snowverilog: fix dynamic dynamic range asgn elab
2022-02-11 Zachary Snowverilog: fix const func eval with upto variables
2022-02-11 Claire XenMerge pull request #2376 from nmoroze/clk2ff-better...
2022-02-11 Miodrag MilanovićMerge pull request #3164 from zachjs/fix-ast-warn
2022-02-11 Claire XenMerge branch 'master' into clk2ff-better-names
2022-02-11 Claire XenMerge pull request #2019 from boqwxp/glift
2022-02-09 Miodrag MilanovićMerge pull request #3193 from YosysHQ/micko/verific_f
2022-02-09 Miodrag MilanovicAdd ability to override verilog mode for verific -f...
2022-02-07 Miodrag MilanovićMerge pull request #3185 from YosysHQ/micko/co_sim
2022-02-02 Miodrag MilanovićMerge pull request #3183 from YosysHQ/micko/nto1mux
2022-02-02 Miodrag MilanovicUse bmux for NTO1MUX
2022-01-19 Miodrag MilanovićMerge pull request #3120 from Icenowy/anlogic-bram
2022-01-18 Zachary Snowfix dumpAst() compilation warning
2022-01-17 N. EngelhardtMerge pull request #3145 from nakengelhardt/advertise_s...
2022-01-08 Zachary Snowsv: auto add nosync to certain always_comb local vars
2022-01-08 Zachary Snowsv: fix size cast internal expression extension
2022-01-03 Zachary Snowsv: fix size cast clipping expression width
2021-12-25 CatherineMerge pull request #3127 from whitequark/cxxrtl-no...
2021-12-18 Zachary Snowfix width detection of array querying function in case...
2021-12-16 CatherineMerge pull request #3115 from whitequark/issue-3112
2021-12-16 CatherineMerge pull request #3114 from whitequark/issue-3113
2021-12-16 Thomas Sailerpreprocessor: do not destroy double slash escaped ident...
2021-12-13 Claire XenMerge pull request #3108 from YosysHQ/claire/verificdefs
2021-12-13 Claire Xenia WolfAdd YOSYS to the implicitly defined verilog macros...
2021-12-10 Miodrag MilanovićMerge pull request #3102 from YosysHQ/claire/enumxz
2021-12-10 Claire Xenia WolfFix verific import of enum values with x and/or z
2021-12-10 Miodrag MilanovićMerge pull request #3097 from YosysHQ/modport
2021-12-10 Claire XenUpdate verific.cc
2021-12-08 Miodrag MilanovicIf direction NONE use that from first bit
2021-12-03 Miodrag MilanovicMake sure cell names are unique for wide operators
2021-11-16 Kamil RakoczySupport parameters using struct as a wiretype (#3050)
2021-11-10 Claire XenMerge pull request #3075 from YosysHQ/micko/verific_mem...
2021-11-10 Claire XenMerge pull request #3077 from YosysHQ/claire/genlib
2021-11-10 Miodrag MilanovicNo need to alocate more memory than used
2021-11-10 Kamil Rakoczygenrtlil: Fix displaying debug info in packages
2021-11-05 Miodrag MilanovićMerge pull request #3067 from YosysHQ/aki/ci_update
2021-11-01 Claire XenMerge pull request #3068 from YosysHQ/claire/verific_cfg
2021-11-01 Claire Xenia WolfAdd "verific -cfg" command
2021-10-31 Claire XenMerge pull request #3066 from YosysHQ/claire/verific_gclk
2021-10-31 Claire Xenia WolfFix verific gclk handling for async-load FFs
2021-10-27 Miodrag MilanovićMerge pull request #3063 from YosysHQ/micko/verific_aldff
2021-10-27 Miodrag MilanovicEnable async load dff emit by default in Verific
2021-10-27 Miodrag MilanovicRevert "Compile option for enabling async load verific...
2021-10-26 Zachary Snowverilog: use derived module info to elaborate cell...
2021-10-26 Rupert SwarbrickSplit out logic for reprocessing an AstModule
2021-10-25 Miodrag MilanovicCompile option for enabling async load verific support
2021-10-21 Claire XenMerge pull request #3057 from YosysHQ/claire/verific_la...
2021-10-21 Claire Xenia WolfFix verific.cc PRIM_DLATCH handling
2021-10-21 Claire Xenia WolfInitial Verific impoter support for {PRIM,WIDE_OPER...
2021-10-20 Miodrag MilanovicOption to disable verific VHDL support
2021-10-15 Claire XenMerge pull request #3044 from YosysHQ/micko/verific_bufif1
2021-10-14 Miodrag MilanovicSupport PRIM_BUFIF1 primitive
2021-10-11 Claire XenMerge pull request #3039 from YosysHQ/claire/verific_aldff
2021-10-11 Claire Xenia WolfAdd Verific adffe/dffsre/aldffe FIXMEs
2021-10-11 Claire XenMerge pull request #3040 from YosysHQ/micko/split_modul...
2021-10-11 Claire XenMerge pull request #3041 from YosysHQ/mmicko/module_attr
2021-10-10 Miodrag MilanovicImport module attributes from Verific
2021-10-08 Claire Xenia WolfFixes and add comments for open FIXME items
2021-10-08 Claire Xenia WolfAdd support for $aldff flip-flops to verific importer
2021-10-04 Miodrag Milanovicverific set db_infer_set_reset_registers
2021-10-02 Zachary SnowSpecify minimum bison version 3.0+
2021-09-24 Claire XenMerge pull request #3014 from YosysHQ/claire/fix-vgtest
2021-09-23 Zachary SnowFix TOK_ID memory leak in for_initialization
2021-09-21 Zachary Snowsv: support wand and wor of data types
2021-09-21 Zachary Snowverilog: fix multiple AST_PREFIX scope resolution issues
2021-09-13 Marcelina Kościelnickaverilog: Squash flex-triggered warning.
next