execute1: Improve timing on comparisons
[microwatt.git] / common.vhdl
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4
5 library work;
6 use work.decode_types.all;
7
8 package common is
9 -- Processor Version Number
10 constant PVR_MICROWATT : std_ulogic_vector(31 downto 0) := x"00630000";
11
12 -- MSR bit numbers
13 constant MSR_SF : integer := (63 - 0); -- Sixty-Four bit mode
14 constant MSR_EE : integer := (63 - 48); -- External interrupt Enable
15 constant MSR_PR : integer := (63 - 49); -- PRoblem state
16 constant MSR_FP : integer := (63 - 50); -- Floating Point available
17 constant MSR_FE0 : integer := (63 - 52); -- Floating Exception mode
18 constant MSR_SE : integer := (63 - 53); -- Single-step bit of TE field
19 constant MSR_BE : integer := (63 - 54); -- Branch trace bit of TE field
20 constant MSR_FE1 : integer := (63 - 55); -- Floating Exception mode
21 constant MSR_IR : integer := (63 - 58); -- Instruction Relocation
22 constant MSR_DR : integer := (63 - 59); -- Data Relocation
23 constant MSR_RI : integer := (63 - 62); -- Recoverable Interrupt
24 constant MSR_LE : integer := (63 - 63); -- Little Endian
25
26 -- SPR numbers
27 subtype spr_num_t is integer range 0 to 1023;
28
29 function decode_spr_num(insn: std_ulogic_vector(31 downto 0)) return spr_num_t;
30
31 constant SPR_XER : spr_num_t := 1;
32 constant SPR_LR : spr_num_t := 8;
33 constant SPR_CTR : spr_num_t := 9;
34 constant SPR_TAR : spr_num_t := 815;
35 constant SPR_DSISR : spr_num_t := 18;
36 constant SPR_DAR : spr_num_t := 19;
37 constant SPR_TB : spr_num_t := 268;
38 constant SPR_TBU : spr_num_t := 269;
39 constant SPR_DEC : spr_num_t := 22;
40 constant SPR_SRR0 : spr_num_t := 26;
41 constant SPR_SRR1 : spr_num_t := 27;
42 constant SPR_CFAR : spr_num_t := 28;
43 constant SPR_HSRR0 : spr_num_t := 314;
44 constant SPR_HSRR1 : spr_num_t := 315;
45 constant SPR_SPRG0 : spr_num_t := 272;
46 constant SPR_SPRG1 : spr_num_t := 273;
47 constant SPR_SPRG2 : spr_num_t := 274;
48 constant SPR_SPRG3 : spr_num_t := 275;
49 constant SPR_SPRG3U : spr_num_t := 259;
50 constant SPR_HSPRG0 : spr_num_t := 304;
51 constant SPR_HSPRG1 : spr_num_t := 305;
52 constant SPR_PID : spr_num_t := 48;
53 constant SPR_PRTBL : spr_num_t := 720;
54 constant SPR_PVR : spr_num_t := 287;
55
56 -- GPR indices in the register file (GPR only)
57 subtype gpr_index_t is std_ulogic_vector(4 downto 0);
58
59 -- Extended GPR index (can hold an SPR or a FPR)
60 subtype gspr_index_t is std_ulogic_vector(6 downto 0);
61
62 -- FPR indices
63 subtype fpr_index_t is std_ulogic_vector(4 downto 0);
64
65 -- Some SPRs are stored in the register file, they use the magic
66 -- GPR numbers above 31.
67 --
68 -- The function fast_spr_num() returns the corresponding fast
69 -- pseudo-GPR number for a given SPR number. The result MSB
70 -- indicates if this is indeed a fast SPR. If clear, then
71 -- the SPR is not stored in the GPR file.
72 --
73 -- FPRs are also stored in the register file, using GSPR
74 -- numbers from 64 to 95.
75 --
76 function fast_spr_num(spr: spr_num_t) return gspr_index_t;
77
78 -- Indices conversion functions
79 function gspr_to_gpr(i: gspr_index_t) return gpr_index_t;
80 function gpr_to_gspr(i: gpr_index_t) return gspr_index_t;
81 function gpr_or_spr_to_gspr(g: gpr_index_t; s: gspr_index_t) return gspr_index_t;
82 function is_fast_spr(s: gspr_index_t) return std_ulogic;
83 function fpr_to_gspr(f: fpr_index_t) return gspr_index_t;
84
85 -- The XER is split: the common bits (CA, OV, SO, OV32 and CA32) are
86 -- in the CR file as a kind of CR extension (with a separate write
87 -- control). The rest is stored as a fast SPR.
88 type xer_common_t is record
89 ca : std_ulogic;
90 ca32 : std_ulogic;
91 ov : std_ulogic;
92 ov32 : std_ulogic;
93 so : std_ulogic;
94 end record;
95 constant xerc_init : xer_common_t := (others => '0');
96
97 -- FPSCR bit numbers
98 constant FPSCR_FX : integer := 63 - 32;
99 constant FPSCR_FEX : integer := 63 - 33;
100 constant FPSCR_VX : integer := 63 - 34;
101 constant FPSCR_OX : integer := 63 - 35;
102 constant FPSCR_UX : integer := 63 - 36;
103 constant FPSCR_ZX : integer := 63 - 37;
104 constant FPSCR_XX : integer := 63 - 38;
105 constant FPSCR_VXSNAN : integer := 63 - 39;
106 constant FPSCR_VXISI : integer := 63 - 40;
107 constant FPSCR_VXIDI : integer := 63 - 41;
108 constant FPSCR_VXZDZ : integer := 63 - 42;
109 constant FPSCR_VXIMZ : integer := 63 - 43;
110 constant FPSCR_VXVC : integer := 63 - 44;
111 constant FPSCR_FR : integer := 63 - 45;
112 constant FPSCR_FI : integer := 63 - 46;
113 constant FPSCR_C : integer := 63 - 47;
114 constant FPSCR_FL : integer := 63 - 48;
115 constant FPSCR_FG : integer := 63 - 49;
116 constant FPSCR_FE : integer := 63 - 50;
117 constant FPSCR_FU : integer := 63 - 51;
118 constant FPSCR_VXSOFT : integer := 63 - 53;
119 constant FPSCR_VXSQRT : integer := 63 - 54;
120 constant FPSCR_VXCVI : integer := 63 - 55;
121 constant FPSCR_VE : integer := 63 - 56;
122 constant FPSCR_OE : integer := 63 - 57;
123 constant FPSCR_UE : integer := 63 - 58;
124 constant FPSCR_ZE : integer := 63 - 59;
125 constant FPSCR_XE : integer := 63 - 60;
126 constant FPSCR_NI : integer := 63 - 61;
127 constant FPSCR_RN : integer := 63 - 63;
128
129 type irq_state_t is (WRITE_SRR0, WRITE_SRR1);
130
131 -- For now, fixed 16 sources, make this either a parametric
132 -- package of some sort or an unconstrainted array.
133 type ics_to_icp_t is record
134 -- Level interrupts only, ICS just keeps prsenting the
135 -- highest priority interrupt. Once handling edge, something
136 -- smarter involving handshake & reject support will be needed
137 src : std_ulogic_vector(3 downto 0);
138 pri : std_ulogic_vector(7 downto 0);
139 end record;
140
141 -- This needs to die...
142 type ctrl_t is record
143 tb: std_ulogic_vector(63 downto 0);
144 dec: std_ulogic_vector(63 downto 0);
145 msr: std_ulogic_vector(63 downto 0);
146 cfar: std_ulogic_vector(63 downto 0);
147 irq_state : irq_state_t;
148 srr1: std_ulogic_vector(63 downto 0);
149 end record;
150
151 type Fetch1ToIcacheType is record
152 req: std_ulogic;
153 virt_mode : std_ulogic;
154 priv_mode : std_ulogic;
155 big_endian : std_ulogic;
156 stop_mark: std_ulogic;
157 sequential: std_ulogic;
158 nia: std_ulogic_vector(63 downto 0);
159 end record;
160
161 type IcacheToDecode1Type is record
162 valid: std_ulogic;
163 stop_mark: std_ulogic;
164 fetch_failed: std_ulogic;
165 nia: std_ulogic_vector(63 downto 0);
166 insn: std_ulogic_vector(31 downto 0);
167 big_endian: std_ulogic;
168 end record;
169
170 type Decode1ToDecode2Type is record
171 valid: std_ulogic;
172 stop_mark : std_ulogic;
173 nia: std_ulogic_vector(63 downto 0);
174 insn: std_ulogic_vector(31 downto 0);
175 ispr1: gspr_index_t; -- (G)SPR used for branch condition (CTR) or mfspr
176 ispr2: gspr_index_t; -- (G)SPR used for branch target (CTR, LR, TAR)
177 decode: decode_rom_t;
178 br_pred: std_ulogic; -- Branch was predicted to be taken
179 big_endian: std_ulogic;
180 end record;
181 constant Decode1ToDecode2Init : Decode1ToDecode2Type :=
182 (valid => '0', stop_mark => '0', nia => (others => '0'), insn => (others => '0'),
183 ispr1 => (others => '0'), ispr2 => (others => '0'), decode => decode_rom_init,
184 br_pred => '0', big_endian => '0');
185
186 type Decode1ToFetch1Type is record
187 redirect : std_ulogic;
188 redirect_nia : std_ulogic_vector(63 downto 0);
189 end record;
190
191 type Decode2ToExecute1Type is record
192 valid: std_ulogic;
193 unit : unit_t;
194 fac : facility_t;
195 insn_type: insn_type_t;
196 nia: std_ulogic_vector(63 downto 0);
197 write_reg: gspr_index_t;
198 write_reg_enable: std_ulogic;
199 read_reg1: gspr_index_t;
200 read_reg2: gspr_index_t;
201 read_data1: std_ulogic_vector(63 downto 0);
202 read_data2: std_ulogic_vector(63 downto 0);
203 read_data3: std_ulogic_vector(63 downto 0);
204 bypass_data1: std_ulogic;
205 bypass_data2: std_ulogic;
206 bypass_data3: std_ulogic;
207 cr: std_ulogic_vector(31 downto 0);
208 bypass_cr : std_ulogic;
209 xerc: xer_common_t;
210 lr: std_ulogic;
211 rc: std_ulogic;
212 oe: std_ulogic;
213 invert_a: std_ulogic;
214 addm1 : std_ulogic;
215 invert_out: std_ulogic;
216 input_carry: carry_in_t;
217 output_carry: std_ulogic;
218 input_cr: std_ulogic;
219 output_cr: std_ulogic;
220 is_32bit: std_ulogic;
221 is_signed: std_ulogic;
222 insn: std_ulogic_vector(31 downto 0);
223 data_len: std_ulogic_vector(3 downto 0);
224 byte_reverse : std_ulogic;
225 sign_extend : std_ulogic; -- do we need to sign extend?
226 update : std_ulogic; -- is this an update instruction?
227 reserve : std_ulogic; -- set for larx/stcx
228 br_pred : std_ulogic;
229 result_sel : std_ulogic_vector(2 downto 0); -- select source of result
230 sub_select : std_ulogic_vector(2 downto 0); -- sub-result selection
231 repeat : std_ulogic; -- set if instruction is cracked into two ops
232 second : std_ulogic; -- set if this is the second op
233 end record;
234 constant Decode2ToExecute1Init : Decode2ToExecute1Type :=
235 (valid => '0', unit => NONE, fac => NONE, insn_type => OP_ILLEGAL,
236 write_reg_enable => '0', bypass_data1 => '0', bypass_data2 => '0', bypass_data3 => '0',
237 bypass_cr => '0', lr => '0', rc => '0', oe => '0', invert_a => '0', addm1 => '0',
238 invert_out => '0', input_carry => ZERO, output_carry => '0', input_cr => '0', output_cr => '0',
239 is_32bit => '0', is_signed => '0', xerc => xerc_init, reserve => '0', br_pred => '0',
240 byte_reverse => '0', sign_extend => '0', update => '0', nia => (others => '0'),
241 read_data1 => (others => '0'), read_data2 => (others => '0'), read_data3 => (others => '0'),
242 cr => (others => '0'), insn => (others => '0'), data_len => (others => '0'),
243 result_sel => "000", sub_select => "000",
244 repeat => '0', second => '0', others => (others => '0'));
245
246 type MultiplyInputType is record
247 valid: std_ulogic;
248 data1: std_ulogic_vector(63 downto 0);
249 data2: std_ulogic_vector(63 downto 0);
250 addend: std_ulogic_vector(127 downto 0);
251 is_32bit: std_ulogic;
252 not_result: std_ulogic;
253 end record;
254 constant MultiplyInputInit : MultiplyInputType := (valid => '0',
255 is_32bit => '0', not_result => '0',
256 others => (others => '0'));
257
258 type MultiplyOutputType is record
259 valid: std_ulogic;
260 result: std_ulogic_vector(127 downto 0);
261 overflow : std_ulogic;
262 end record;
263 constant MultiplyOutputInit : MultiplyOutputType := (valid => '0', overflow => '0',
264 others => (others => '0'));
265
266 type Execute1ToDividerType is record
267 valid: std_ulogic;
268 dividend: std_ulogic_vector(63 downto 0);
269 divisor: std_ulogic_vector(63 downto 0);
270 is_signed: std_ulogic;
271 is_32bit: std_ulogic;
272 is_extended: std_ulogic;
273 is_modulus: std_ulogic;
274 neg_result: std_ulogic;
275 end record;
276 constant Execute1ToDividerInit: Execute1ToDividerType := (valid => '0', is_signed => '0', is_32bit => '0',
277 is_extended => '0', is_modulus => '0',
278 neg_result => '0', others => (others => '0'));
279
280 type Decode2ToRegisterFileType is record
281 read1_enable : std_ulogic;
282 read1_reg : gspr_index_t;
283 read2_enable : std_ulogic;
284 read2_reg : gspr_index_t;
285 read3_enable : std_ulogic;
286 read3_reg : gspr_index_t;
287 end record;
288
289 type RegisterFileToDecode2Type is record
290 read1_data : std_ulogic_vector(63 downto 0);
291 read2_data : std_ulogic_vector(63 downto 0);
292 read3_data : std_ulogic_vector(63 downto 0);
293 end record;
294
295 type Decode2ToCrFileType is record
296 read : std_ulogic;
297 end record;
298
299 type CrFileToDecode2Type is record
300 read_cr_data : std_ulogic_vector(31 downto 0);
301 read_xerc_data : xer_common_t;
302 end record;
303
304 type Execute1ToFetch1Type is record
305 redirect: std_ulogic;
306 virt_mode: std_ulogic;
307 priv_mode: std_ulogic;
308 big_endian: std_ulogic;
309 mode_32bit: std_ulogic;
310 redirect_nia: std_ulogic_vector(63 downto 0);
311 end record;
312 constant Execute1ToFetch1Init : Execute1ToFetch1Type := (redirect => '0', virt_mode => '0',
313 priv_mode => '0', big_endian => '0',
314 mode_32bit => '0', others => (others => '0'));
315
316 type Execute1ToLoadstore1Type is record
317 valid : std_ulogic;
318 op : insn_type_t; -- what ld/st or m[tf]spr or TLB op to do
319 nia : std_ulogic_vector(63 downto 0);
320 insn : std_ulogic_vector(31 downto 0);
321 addr1 : std_ulogic_vector(63 downto 0);
322 addr2 : std_ulogic_vector(63 downto 0);
323 data : std_ulogic_vector(63 downto 0); -- data to write, unused for read
324 write_reg : gspr_index_t;
325 length : std_ulogic_vector(3 downto 0);
326 ci : std_ulogic; -- cache-inhibited load/store
327 byte_reverse : std_ulogic;
328 sign_extend : std_ulogic; -- do we need to sign extend?
329 update : std_ulogic; -- is this an update instruction?
330 update_reg : gpr_index_t; -- if so, the register to update
331 xerc : xer_common_t;
332 reserve : std_ulogic; -- set for larx/stcx.
333 rc : std_ulogic; -- set for stcx.
334 virt_mode : std_ulogic; -- do translation through TLB
335 priv_mode : std_ulogic; -- privileged mode (MSR[PR] = 0)
336 mode_32bit : std_ulogic; -- trim addresses to 32 bits
337 is_32bit : std_ulogic;
338 repeat : std_ulogic;
339 second : std_ulogic;
340 end record;
341 constant Execute1ToLoadstore1Init : Execute1ToLoadstore1Type := (valid => '0', op => OP_ILLEGAL, ci => '0', byte_reverse => '0',
342 sign_extend => '0', update => '0', xerc => xerc_init,
343 reserve => '0', rc => '0', virt_mode => '0', priv_mode => '0',
344 nia => (others => '0'), insn => (others => '0'),
345 addr1 => (others => '0'), addr2 => (others => '0'), data => (others => '0'),
346 write_reg => (others => '0'), length => (others => '0'),
347 mode_32bit => '0', is_32bit => '0',
348 repeat => '0', second => '0', others => (others => '0'));
349
350 type Loadstore1ToExecute1Type is record
351 busy : std_ulogic;
352 exception : std_ulogic;
353 alignment : std_ulogic;
354 invalid : std_ulogic;
355 perm_error : std_ulogic;
356 rc_error : std_ulogic;
357 badtree : std_ulogic;
358 segment_fault : std_ulogic;
359 instr_fault : std_ulogic;
360 end record;
361
362 type Loadstore1ToDcacheType is record
363 valid : std_ulogic;
364 load : std_ulogic; -- is this a load
365 dcbz : std_ulogic;
366 nc : std_ulogic;
367 reserve : std_ulogic;
368 atomic : std_ulogic; -- part of a multi-transfer atomic op
369 atomic_last : std_ulogic;
370 virt_mode : std_ulogic;
371 priv_mode : std_ulogic;
372 addr : std_ulogic_vector(63 downto 0);
373 data : std_ulogic_vector(63 downto 0); -- valid the cycle after .valid = 1
374 byte_sel : std_ulogic_vector(7 downto 0);
375 end record;
376
377 type DcacheToLoadstore1Type is record
378 valid : std_ulogic;
379 data : std_ulogic_vector(63 downto 0);
380 store_done : std_ulogic;
381 error : std_ulogic;
382 cache_paradox : std_ulogic;
383 end record;
384
385 type Loadstore1ToMmuType is record
386 valid : std_ulogic;
387 tlbie : std_ulogic;
388 slbia : std_ulogic;
389 mtspr : std_ulogic;
390 iside : std_ulogic;
391 load : std_ulogic;
392 priv : std_ulogic;
393 sprn : std_ulogic_vector(9 downto 0);
394 addr : std_ulogic_vector(63 downto 0);
395 rs : std_ulogic_vector(63 downto 0);
396 end record;
397
398 type MmuToLoadstore1Type is record
399 done : std_ulogic;
400 err : std_ulogic;
401 invalid : std_ulogic;
402 badtree : std_ulogic;
403 segerr : std_ulogic;
404 perm_error : std_ulogic;
405 rc_error : std_ulogic;
406 sprval : std_ulogic_vector(63 downto 0);
407 end record;
408
409 type MmuToDcacheType is record
410 valid : std_ulogic;
411 tlbie : std_ulogic;
412 doall : std_ulogic;
413 tlbld : std_ulogic;
414 addr : std_ulogic_vector(63 downto 0);
415 pte : std_ulogic_vector(63 downto 0);
416 end record;
417
418 type DcacheToMmuType is record
419 stall : std_ulogic;
420 done : std_ulogic;
421 err : std_ulogic;
422 data : std_ulogic_vector(63 downto 0);
423 end record;
424
425 type MmuToIcacheType is record
426 tlbld : std_ulogic;
427 tlbie : std_ulogic;
428 doall : std_ulogic;
429 addr : std_ulogic_vector(63 downto 0);
430 pte : std_ulogic_vector(63 downto 0);
431 end record;
432
433 type Loadstore1ToWritebackType is record
434 valid : std_ulogic;
435 write_enable: std_ulogic;
436 write_reg : gspr_index_t;
437 write_data : std_ulogic_vector(63 downto 0);
438 xerc : xer_common_t;
439 rc : std_ulogic;
440 store_done : std_ulogic;
441 end record;
442 constant Loadstore1ToWritebackInit : Loadstore1ToWritebackType := (valid => '0', write_enable => '0', xerc => xerc_init,
443 rc => '0', store_done => '0', write_data => (others => '0'), others => (others => '0'));
444
445 type Execute1ToWritebackType is record
446 valid: std_ulogic;
447 rc : std_ulogic;
448 mode_32bit : std_ulogic;
449 write_enable : std_ulogic;
450 write_reg: gspr_index_t;
451 write_data: std_ulogic_vector(63 downto 0);
452 write_cr_enable : std_ulogic;
453 write_cr_mask : std_ulogic_vector(7 downto 0);
454 write_cr_data : std_ulogic_vector(31 downto 0);
455 write_xerc_enable : std_ulogic;
456 xerc : xer_common_t;
457 exc_write_enable : std_ulogic;
458 exc_write_reg : gspr_index_t;
459 exc_write_data : std_ulogic_vector(63 downto 0);
460 end record;
461 constant Execute1ToWritebackInit : Execute1ToWritebackType := (valid => '0', rc => '0', mode_32bit => '0', write_enable => '0',
462 write_cr_enable => '0', exc_write_enable => '0',
463 write_xerc_enable => '0', xerc => xerc_init,
464 write_data => (others => '0'), write_cr_mask => (others => '0'),
465 write_cr_data => (others => '0'), write_reg => (others => '0'),
466 exc_write_reg => (others => '0'), exc_write_data => (others => '0'));
467
468 type Execute1ToFPUType is record
469 valid : std_ulogic;
470 op : insn_type_t;
471 nia : std_ulogic_vector(63 downto 0);
472 insn : std_ulogic_vector(31 downto 0);
473 single : std_ulogic;
474 fe_mode : std_ulogic_vector(1 downto 0);
475 fra : std_ulogic_vector(63 downto 0);
476 frb : std_ulogic_vector(63 downto 0);
477 frc : std_ulogic_vector(63 downto 0);
478 frt : gspr_index_t;
479 rc : std_ulogic;
480 out_cr : std_ulogic;
481 end record;
482 constant Execute1ToFPUInit : Execute1ToFPUType := (valid => '0', op => OP_ILLEGAL, nia => (others => '0'),
483 insn => (others => '0'), fe_mode => "00", rc => '0',
484 fra => (others => '0'), frb => (others => '0'),
485 frc => (others => '0'), frt => (others => '0'),
486 single => '0', out_cr => '0');
487
488 type FPUToExecute1Type is record
489 busy : std_ulogic;
490 exception : std_ulogic;
491 interrupt : std_ulogic;
492 illegal : std_ulogic;
493 end record;
494 constant FPUToExecute1Init : FPUToExecute1Type := (others => '0');
495
496 type FPUToWritebackType is record
497 valid : std_ulogic;
498 write_enable : std_ulogic;
499 write_reg : gspr_index_t;
500 write_data : std_ulogic_vector(63 downto 0);
501 write_cr_enable : std_ulogic;
502 write_cr_mask : std_ulogic_vector(7 downto 0);
503 write_cr_data : std_ulogic_vector(31 downto 0);
504 end record;
505 constant FPUToWritebackInit : FPUToWritebackType := (valid => '0', write_enable => '0', write_cr_enable => '0', others => (others => '0'));
506
507 type DividerToExecute1Type is record
508 valid: std_ulogic;
509 write_reg_data: std_ulogic_vector(63 downto 0);
510 overflow : std_ulogic;
511 end record;
512 constant DividerToExecute1Init : DividerToExecute1Type := (valid => '0', overflow => '0',
513 others => (others => '0'));
514
515 type WritebackToRegisterFileType is record
516 write_reg : gspr_index_t;
517 write_data : std_ulogic_vector(63 downto 0);
518 write_enable : std_ulogic;
519 end record;
520 constant WritebackToRegisterFileInit : WritebackToRegisterFileType := (write_enable => '0', write_data => (others => '0'), others => (others => '0'));
521
522 type WritebackToCrFileType is record
523 write_cr_enable : std_ulogic;
524 write_cr_mask : std_ulogic_vector(7 downto 0);
525 write_cr_data : std_ulogic_vector(31 downto 0);
526 write_xerc_enable : std_ulogic;
527 write_xerc_data : xer_common_t;
528 end record;
529 constant WritebackToCrFileInit : WritebackToCrFileType := (write_cr_enable => '0', write_xerc_enable => '0',
530 write_xerc_data => xerc_init,
531 write_cr_mask => (others => '0'),
532 write_cr_data => (others => '0'));
533
534 end common;
535
536 package body common is
537 function decode_spr_num(insn: std_ulogic_vector(31 downto 0)) return spr_num_t is
538 begin
539 return to_integer(unsigned(insn(15 downto 11) & insn(20 downto 16)));
540 end;
541 function fast_spr_num(spr: spr_num_t) return gspr_index_t is
542 variable n : integer range 0 to 31;
543 -- tmp variable introduced as workaround for VCS compilation
544 -- simulation was failing with subtype constraint mismatch error
545 -- see GitHub PR #173
546 variable tmp : std_ulogic_vector(4 downto 0);
547 begin
548 case spr is
549 when SPR_LR =>
550 n := 0;
551 when SPR_CTR =>
552 n:= 1;
553 when SPR_SRR0 =>
554 n := 2;
555 when SPR_SRR1 =>
556 n := 3;
557 when SPR_HSRR0 =>
558 n := 4;
559 when SPR_HSRR1 =>
560 n := 5;
561 when SPR_SPRG0 =>
562 n := 6;
563 when SPR_SPRG1 =>
564 n := 7;
565 when SPR_SPRG2 =>
566 n := 8;
567 when SPR_SPRG3 | SPR_SPRG3U =>
568 n := 9;
569 when SPR_HSPRG0 =>
570 n := 10;
571 when SPR_HSPRG1 =>
572 n := 11;
573 when SPR_XER =>
574 n := 12;
575 when SPR_TAR =>
576 n := 13;
577 when others =>
578 n := 0;
579 return "0000000";
580 end case;
581 tmp := std_ulogic_vector(to_unsigned(n, 5));
582 return "01" & tmp;
583 end;
584
585 function gspr_to_gpr(i: gspr_index_t) return gpr_index_t is
586 begin
587 return i(4 downto 0);
588 end;
589
590 function gpr_to_gspr(i: gpr_index_t) return gspr_index_t is
591 begin
592 return "00" & i;
593 end;
594
595 function gpr_or_spr_to_gspr(g: gpr_index_t; s: gspr_index_t) return gspr_index_t is
596 begin
597 if s(5) = '1' then
598 return s;
599 else
600 return gpr_to_gspr(g);
601 end if;
602 end;
603
604 function is_fast_spr(s: gspr_index_t) return std_ulogic is
605 begin
606 return s(5);
607 end;
608
609 function fpr_to_gspr(f: fpr_index_t) return gspr_index_t is
610 begin
611 return "10" & f;
612 end;
613 end common;