Remove useless _blinky.build_and_program() function.
[nmigen-boards.git] / nmigen_boards / versa_ecp5_5g.py
1 from .versa_ecp5 import VersaECP5Platform
2
3
4 __all__ = ["VersaECP55GPlatform"]
5
6
7 class VersaECP55GPlatform(VersaECP5Platform):
8 device = "LFE5UM5G-45F"
9 # Everything else is identical between 3G and 5G Versa boards.
10
11
12 if __name__ == "__main__":
13 from ._blinky import Blinky
14 VersaECP55GPlatform().build(Blinky(), do_program=True)