verific: Use new value change logic also for $stable of wide signals.
[yosys.git] / tests / sva / sva_value_change_changed_wide.sv
1 module top (
2 input clk,
3 input [2:0] a,
4 input [2:0] b
5 );
6 default clocking @(posedge clk); endclocking
7
8 assert property (
9 $changed(a)
10 );
11
12 assert property (
13 $changed(b) == ($changed(b[0]) || $changed(b[1]) || $changed(b[2]))
14 );
15
16 `ifndef FAIL
17 assume property (
18 a !== 'x ##1 $changed(a)
19 );
20 `endif
21
22 endmodule