Revert "Avoid timing violation on ECP5 PHY PAUSE signal"
[gram.git] / gram / phy / ecp5ddrphy.py
index 007c143cba8c2c7ba3209246e549ad08d44ade77..32a679244b4dd639001f4805503b82993d2fb03d 100644 (file)
@@ -101,28 +101,10 @@ class _DQSBUFMSettingManager(Elaboratable):
             with m.State("Idle"):
                 with m.If(self.rdly_csr.w_stb):
                     m.d.sync += self.pause.eq(1)
-                    m.next = "RdlyUpdateRequestedDelay1"
-
-            with m.State("RdlyUpdateRequestedDelay1"):
-                m.next = "RdlyUpdateRequestedDelay2"
-
-            with m.State("RdlyUpdateRequestedDelay2"):
-                m.next = "RdlyUpdateRequestedDelay3"
-
-            with m.State("RdlyUpdateRequestedDelay3"):
-                m.next = "RdlyUpdateRequested"
+                    m.next = "RdlyUpdateRequested"
 
             with m.State("RdlyUpdateRequested"):
                 m.d.sync += self.readclksel.eq(self.rdly_csr.w_data)
-                m.next = "ResetPauseDelay1"
-
-            with m.State("ResetPauseDelay1"):
-                m.next = "ResetPauseDelay2"
-
-            with m.State("ResetPauseDelay2"):
-                m.next = "ResetPauseDelay3"
-
-            with m.State("ResetPauseDelay3"):
                 m.next = "ResetPause"
 
             with m.State("ResetPause"):