Revert "Avoid timing violation on ECP5 PHY PAUSE signal"
[gram.git] / libgram / src / dfii.c
index 8049a0759b3d303673b2d6a47a73e7ab271dc2ab..17e18dada9b4069698df0d060262fb659423c554 100644 (file)
@@ -56,12 +56,6 @@ static void dfii_set_mr(const struct gramCtx *ctx, uint8_t mr, uint16_t val) {
 
 #define MR0_DLL_RESET (1 << 8)
 void dfii_initseq(const struct gramCtx *ctx, const struct gramProfile *profile) {
-       /* Assert reset */
-       dfii_set_p0_address(ctx, 0x0);
-       dfii_set_p0_baddress(ctx, 0);
-       dfii_setcontrol(ctx, 0);
-       cdelay(50000);
-
        /* Release reset */
        dfii_set_p0_address(ctx, 0x0);
        dfii_set_p0_baddress(ctx, 0);