Remove useless _blinky.build_and_program() function.
[nmigen-boards.git] / nmigen_boards / _blinky.py
index 87ea57bcfa1025dbe24386ff467643f99caf457f..a9bbde9e5e39aee52e6d104b6d4b84ce0686004b 100644 (file)
@@ -25,7 +25,3 @@ class Blinky(Elaboratable):
             m.d.sync += ctr.eq(ctr - 1)
 
         return m
-
-
-def build_and_program(platform_cls, **kwargs):
-    platform_cls().build(Blinky(), do_program=True, **kwargs)