Remove useless _blinky.build_and_program() function.
[nmigen-boards.git] / nmigen_boards / ice40_hx1k_blink_evn.py
index 7ada8c844365494a3bec238425d73a3e33ca46c2..a3233b934820e2f743ec3a1bfdd09f0f352648a7 100644 (file)
@@ -47,5 +47,5 @@ class ICE40HX1KBlinkEVNPlatform(LatticeICE40Platform):
 
 
 if __name__ == "__main__":
-    from ._blinky import build_and_program
-    build_and_program(ICE40HX1KBlinkEVNPlatform)
+    from ._blinky import Blinky
+    ICE40HX1KBlinkEVNPlatform().build(Blinky(), do_program=True)