Remove useless _blinky.build_and_program() function.
[nmigen-boards.git] / nmigen_boards / ice40_hx8k_b_evn.py
index 39b478d8207b97a89a13dd2ce98cd882ce6dc09c..31fd0137a1468a7ed2874ba8f5c39ca6953bed6b 100644 (file)
@@ -67,5 +67,5 @@ class ICE40HX8KBEVNPlatform(LatticeICE40Platform):
 
 
 if __name__ == "__main__":
-    from ._blinky import build_and_program
-    build_and_program(ICE40HX8KBEVNPlatform)
+    from ._blinky import Blinky
+    ICE40HX8KBEVNPlatform().build(Blinky(), do_program=True)