Remove useless _blinky.build_and_program() function.
[nmigen-boards.git] / nmigen_boards / icestick.py
index bdeb11248947a549b2c814aec55800d33f06c910..dccf34c1bdb240d97594d8d1546dd2511c02eac4 100644 (file)
@@ -53,5 +53,5 @@ class ICEStickPlatform(LatticeICE40Platform):
 
 
 if __name__ == "__main__":
-    from ._blinky import build_and_program
-    build_and_program(ICEStickPlatform)
+    from ._blinky import Blinky
+    ICEStickPlatform().build(Blinky(), do_program=True)