Remove useless _blinky.build_and_program() function.
[nmigen-boards.git] / nmigen_boards / versa_ecp5_5g.py
index d6441f4426cee8176c883b91cd5426c9706edeae..02fa8b1844d4a68f5cc31971164012d60eb48865 100644 (file)
@@ -10,5 +10,5 @@ class VersaECP55GPlatform(VersaECP5Platform):
 
 
 if __name__ == "__main__":
-    from ._blinky import build_and_program
-    build_and_program(VersaECP55GPlatform)
+    from ._blinky import Blinky
+    VersaECP55GPlatform().build(Blinky(), do_program=True)