add hierarchy -smtcheck simcheck-allow-smtlib2-blackboxes
authorJacob Lifshay <programmerjake@gmail.com>
Thu, 23 Jun 2022 03:53:10 +0000 (20:53 -0700)
committerJacob Lifshay <programmerjake@gmail.com>
Thu, 23 Jun 2022 03:53:10 +0000 (20:53 -0700)
commitc16c0288311ba9aef95b3d5fcdde63bbc05a5b08
treeee18bb96e53cde5cdaee2ad496bd2b9a16fd4d7b
parentb2408df31332cdf810bc9831ae3aface1048652c
add hierarchy -smtcheck

like -simcheck, but allow smtlib2_module modules.
manual/command-reference-manual.tex
passes/hierarchy/hierarchy.cc