yosys.git
22 months agoadd hierarchy -smtcheck simcheck-allow-smtlib2-blackboxes
Jacob Lifshay [Thu, 23 Jun 2022 03:53:10 +0000 (20:53 -0700)]
add hierarchy -smtcheck

like -simcheck, but allow smtlib2_module modules.

22 months agoBump version
github-actions[bot] [Wed, 22 Jun 2022 00:19:30 +0000 (00:19 +0000)]
Bump version

22 months agoAdding expected error message.
Archie [Mon, 20 Jun 2022 20:50:26 +0000 (21:50 +0100)]
Adding expected error message.

22 months agoAdding testcase for issue 3374
Archie [Fri, 17 Jun 2022 19:07:02 +0000 (20:07 +0100)]
Adding testcase for issue 3374

22 months agoAdd check for BLIF with no model name
Archie [Tue, 14 Jun 2022 13:17:00 +0000 (14:17 +0100)]
Add check for BLIF with no model name

22 months agoRevert "use new verific extensions library"
Miodrag Milanovic [Tue, 21 Jun 2022 16:06:16 +0000 (18:06 +0200)]
Revert "use new verific extensions library"

This reverts commit 607e957657fc56625de5c28ea9cd43c859017d96.

22 months agoMerge pull request #3387 from ekiwi/btor-pos-cell
Jannis Harder [Tue, 21 Jun 2022 08:30:10 +0000 (10:30 +0200)]
Merge pull request #3387 from ekiwi/btor-pos-cell

btor: add support for $pos cell

22 months agoBump version
github-actions[bot] [Tue, 21 Jun 2022 00:16:10 +0000 (00:16 +0000)]
Bump version

22 months agobtor: add support for $pos cell
Kevin Läufer [Mon, 20 Jun 2022 23:39:53 +0000 (16:39 -0700)]
btor: add support for $pos cell

22 months agocodeowners: adopt ABC9 and update intel_alm username
Lofty [Mon, 20 Jun 2022 14:01:52 +0000 (15:01 +0100)]
codeowners: adopt ABC9 and update intel_alm username

22 months agoBump version
github-actions[bot] [Sat, 18 Jun 2022 00:17:32 +0000 (00:17 +0000)]
Bump version

22 months agoMerge pull request #3383 from jix/write_formal_map_roms
Jannis Harder [Fri, 17 Jun 2022 17:08:14 +0000 (19:08 +0200)]
Merge pull request #3383 from jix/write_formal_map_roms

smt2, btor: Use memory_map -rom-only to make ROMs usable for k-induction

22 months agosmt2, btor: Use memory_map -rom-only to make ROMs usable for k-induction
Jannis Harder [Fri, 17 Jun 2022 15:23:13 +0000 (17:23 +0200)]
smt2, btor: Use memory_map -rom-only to make ROMs usable for k-induction

This avoids provability regressions now that we infer more ROMs.

This fixes #3378

22 months agomemory_map: Add -rom-only option.
Marcelina Kościelnicka [Fri, 17 Jun 2022 13:29:37 +0000 (15:29 +0200)]
memory_map: Add -rom-only option.

22 months agoMerge pull request #3382 from YosysHQ/micko/verific_extensions
Miodrag Milanović [Fri, 17 Jun 2022 14:20:31 +0000 (16:20 +0200)]
Merge pull request #3382 from YosysHQ/micko/verific_extensions

use new verific extensions library

22 months agouse new verific extensions library
Miodrag Milanovic [Fri, 17 Jun 2022 14:04:22 +0000 (16:04 +0200)]
use new verific extensions library

22 months agomemory_map: Use const drivers instead of FFs for ROMs.
Marcelina Kościelnicka [Fri, 17 Jun 2022 12:11:04 +0000 (14:11 +0200)]
memory_map: Use const drivers instead of FFs for ROMs.

22 months agoBump version
github-actions[bot] [Fri, 17 Jun 2022 00:17:38 +0000 (00:17 +0000)]
Bump version

22 months agomemory_libmap: Fix wrprio handling.
Marcelina Kościelnicka [Thu, 16 Jun 2022 23:20:33 +0000 (01:20 +0200)]
memory_libmap: Fix wrprio handling.

22 months agomemory_libmap: Fix params emitted for unused ports for consistency.
Marcelina Kościelnicka [Thu, 16 Jun 2022 04:04:04 +0000 (06:04 +0200)]
memory_libmap: Fix params emitted for unused ports for consistency.

23 months agoBump version
github-actions[bot] [Tue, 14 Jun 2022 00:18:42 +0000 (00:18 +0000)]
Bump version

23 months agoAdd a check for packed memory MEMID uniqueness
Marcelina Kościelnicka [Mon, 13 Jun 2022 14:53:29 +0000 (16:53 +0200)]
Add a check for packed memory MEMID uniqueness

23 months agoMerge pull request #3196 from bfg86/bfg86/rename
N. Engelhardt [Mon, 13 Jun 2022 14:00:04 +0000 (16:00 +0200)]
Merge pull request #3196 from bfg86/bfg86/rename

Add -suffix option to rename -wire

23 months agoopt_ffinv: Fix use after free.
Marcelina Kościelnicka [Mon, 13 Jun 2022 10:22:59 +0000 (12:22 +0200)]
opt_ffinv: Fix use after free.

23 months agoremoved deprecated features code
Miodrag Milanovic [Mon, 13 Jun 2022 08:50:12 +0000 (10:50 +0200)]
removed deprecated features code

23 months agoUpdating help-text with nakengelhardts suggestion.
bfg86 [Mon, 13 Jun 2022 07:35:10 +0000 (09:35 +0200)]
Updating help-text with nakengelhardts suggestion.

23 months agoBump version
github-actions[bot] [Sat, 11 Jun 2022 00:17:13 +0000 (00:17 +0000)]
Bump version

23 months agoMerge pull request #3368 from jix/smtbmc-unroll-noincr-traces-fix
Jannis Harder [Fri, 10 Jun 2022 13:25:57 +0000 (15:25 +0200)]
Merge pull request #3368 from jix/smtbmc-unroll-noincr-traces-fix

smtbmc: noincr: keep solver running for post check-sat unrolling

23 months agoNext dev cycle
Miodrag Milanovic [Fri, 10 Jun 2022 13:05:09 +0000 (15:05 +0200)]
Next dev cycle

23 months agoRelease version 0.18
Miodrag Milanovic [Fri, 10 Jun 2022 13:01:40 +0000 (15:01 +0200)]
Release version 0.18

23 months agoUpdate manual
Miodrag Milanovic [Fri, 10 Jun 2022 13:00:07 +0000 (15:00 +0200)]
Update manual

23 months agoUpdated CHANGELOG
Miodrag Milanovic [Fri, 10 Jun 2022 07:08:23 +0000 (09:08 +0200)]
Updated CHANGELOG

23 months agoBump version
github-actions[bot] [Fri, 10 Jun 2022 00:17:46 +0000 (00:17 +0000)]
Bump version

23 months agoMerge pull request #3349 from nakengelhardt/select_count_scratchpad
N. Engelhardt [Thu, 9 Jun 2022 15:15:02 +0000 (17:15 +0200)]
Merge pull request #3349 from nakengelhardt/select_count_scratchpad

Make 'stat' and 'select -count' save counts to scratchpad

23 months agoMerge pull request #3359 from jix/fmcombine-memid
N. Engelhardt [Thu, 9 Jun 2022 15:12:34 +0000 (17:12 +0200)]
Merge pull request #3359 from jix/fmcombine-memid

fmcombine: Add _gold/_gate suffix to memids

23 months agoUse compiler-generated default constructor for RTLIL::Const::Const
Henner Zeller [Mon, 6 Jun 2022 20:03:47 +0000 (13:03 -0700)]
Use compiler-generated default constructor for RTLIL::Const::Const

No need for a manual implementation.
While at it: have the constructor that takes a string take a
const string reference instead to avoid a copy.

23 months agoAvoid unnecessary copy of a potential large constant value.
Henner Zeller [Mon, 6 Jun 2022 20:50:32 +0000 (13:50 -0700)]
Avoid unnecessary copy of a potential large constant value.

The local variable is used just to iterate through the values, so
a const reference is all we need.

23 months agoverific: Added "-vlog-libext" option to specify search extension for libraries
Miodrag Milanovic [Thu, 9 Jun 2022 06:57:48 +0000 (08:57 +0200)]
verific: Added "-vlog-libext" option to specify search extension for libraries

23 months agoBump version
github-actions[bot] [Thu, 9 Jun 2022 00:16:16 +0000 (00:16 +0000)]
Bump version

23 months agowreduce: Introduce -mux_undef option (aligned with opt_expr).
Marcelina Kościelnicka [Wed, 8 Jun 2022 18:32:04 +0000 (20:32 +0200)]
wreduce: Introduce -mux_undef option (aligned with opt_expr).

23 months agosmtbmc: noincr: keep solver running for post check-sat unrolling
Jannis Harder [Wed, 8 Jun 2022 09:22:17 +0000 (11:22 +0200)]
smtbmc: noincr: keep solver running for post check-sat unrolling

23 months agoMerge pull request #3357 from jix/smtbmc-cvc5
Jannis Harder [Wed, 8 Jun 2022 10:52:51 +0000 (12:52 +0200)]
Merge pull request #3357 from jix/smtbmc-cvc5

smtbmc: recognize cvc5 and fix unrolling for cvc4/cvc5

23 months agoMore updates on CHANGELOG
Miodrag Milanovic [Wed, 8 Jun 2022 09:41:13 +0000 (11:41 +0200)]
More updates on CHANGELOG

23 months agoUpdate changelog and manual
Miodrag Milanovic [Wed, 8 Jun 2022 09:28:06 +0000 (11:28 +0200)]
Update changelog and manual

23 months agosta: warn on unrecognised cells only once
Lofty [Mon, 6 Jun 2022 14:29:52 +0000 (15:29 +0100)]
sta: warn on unrecognised cells only once

23 months agoBump version
github-actions[bot] [Wed, 8 Jun 2022 00:15:24 +0000 (00:15 +0000)]
Bump version

23 months agoMerge pull request #3367 from jix/smtlib2-module-fixes
Jannis Harder [Tue, 7 Jun 2022 17:45:47 +0000 (19:45 +0200)]
Merge pull request #3367 from jix/smtlib2-module-fixes

smt2: emit smtlib2_comb_expr outputs after all inputs

23 months agosmt2: emit smtlib2_comb_expr outputs after all inputs
Jannis Harder [Tue, 7 Jun 2022 15:37:04 +0000 (17:37 +0200)]
smt2: emit smtlib2_comb_expr outputs after all inputs

23 months agoMerge pull request #3319 from programmerjake/smtlib2-expr-support
Jannis Harder [Tue, 7 Jun 2022 14:47:10 +0000 (16:47 +0200)]
Merge pull request #3319 from programmerjake/smtlib2-expr-support

add smtlib2_comb_expr

23 months agoMerge pull request #3358 from jix/smtbmc-yices-forall
Jannis Harder [Tue, 7 Jun 2022 11:19:34 +0000 (13:19 +0200)]
Merge pull request #3358 from jix/smtbmc-yices-forall

smtbmc: Force nonincremental mode when yices is used with forall

23 months agoopt_ffinv: Harden against simple ff/inv loop.
Marcelina Kościelnicka [Tue, 7 Jun 2022 02:26:25 +0000 (04:26 +0200)]
opt_ffinv: Harden against simple ff/inv loop.

23 months agoiopadmap: Fix z assignment removal.
Marcelina Kościelnicka [Tue, 7 Jun 2022 00:18:08 +0000 (02:18 +0200)]
iopadmap: Fix z assignment removal.

Fixes #3360.

23 months agoBump version
github-actions[bot] [Sun, 5 Jun 2022 00:19:28 +0000 (00:19 +0000)]
Bump version

23 months agoverific: proper file location for readmem commands
Miodrag Milanovic [Sat, 4 Jun 2022 06:39:50 +0000 (08:39 +0200)]
verific: proper file location for readmem commands

23 months agoBump version
github-actions[bot] [Sat, 4 Jun 2022 00:16:35 +0000 (00:16 +0000)]
Bump version

23 months agofmcombine: Add _gold/_gate suffix to memids
Jannis Harder [Fri, 3 Jun 2022 19:47:50 +0000 (21:47 +0200)]
fmcombine: Add _gold/_gate suffix to memids

23 months agosmtbmc: Force nonincremental mode when yices is used with forall
Jannis Harder [Fri, 3 Jun 2022 14:45:23 +0000 (16:45 +0200)]
smtbmc: Force nonincremental mode when yices is used with forall

23 months agosmtbmc: recognize cvc5 and fix unrolling for cvc4/cvc5
Jannis Harder [Fri, 3 Jun 2022 14:24:09 +0000 (16:24 +0200)]
smtbmc: recognize cvc5 and fix unrolling for cvc4/cvc5

23 months agodon't use sed -i because it won't work on macos smtlib2-expr-support
Jacob Lifshay [Fri, 3 Jun 2022 08:09:57 +0000 (01:09 -0700)]
don't use sed -i because it won't work on macos

23 months agoFix preventing show crashing with newer graphviz
Miodrag Milanovic [Fri, 3 Jun 2022 06:38:16 +0000 (08:38 +0200)]
Fix preventing show crashing with newer graphviz

23 months agosmtlib2_module: try to fix test on macos
Jacob Lifshay [Fri, 3 Jun 2022 06:12:07 +0000 (23:12 -0700)]
smtlib2_module: try to fix test on macos

23 months agosmt2: Add smtlib2_comb_expr attribute to allow user-selected smtlib2 expressions
Jacob Lifshay [Fri, 3 Jun 2022 05:37:29 +0000 (22:37 -0700)]
smt2: Add smtlib2_comb_expr attribute to allow user-selected smtlib2 expressions

23 months agoBump version
github-actions[bot] [Fri, 3 Jun 2022 00:14:33 +0000 (00:14 +0000)]
Bump version

23 months agoAdd -no-rw-check option to memory_dff + memory + synth_{ice40,ecp5,gowin}.
Marcelina Kościelnicka [Thu, 2 Jun 2022 15:15:28 +0000 (17:15 +0200)]
Add -no-rw-check option to memory_dff + memory + synth_{ice40,ecp5,gowin}.

23 months agomemory_dff: Add support for no_rw_check attribute.
Marcelina Kościelnicka [Thu, 2 Jun 2022 09:47:29 +0000 (11:47 +0200)]
memory_dff: Add support for no_rw_check attribute.

23 months agoalso make 'stat' save counts to scratchpad
N. Engelhardt [Wed, 1 Jun 2022 14:01:07 +0000 (16:01 +0200)]
also make 'stat' save counts to scratchpad

23 months agohave 'select -count' save the count to scratchpad entry 'select.count'
N. Engelhardt [Wed, 1 Jun 2022 12:38:28 +0000 (14:38 +0200)]
have 'select -count' save the count to scratchpad entry 'select.count'

23 months agoMerge pull request #3348 from zachjs/func-tern-hint
Jannis Harder [Tue, 31 May 2022 13:56:36 +0000 (15:56 +0200)]
Merge pull request #3348 from zachjs/func-tern-hint

verilog: fix width/sign detection for functions

23 months agoBump version
github-actions[bot] [Tue, 31 May 2022 00:16:32 +0000 (00:16 +0000)]
Bump version

23 months agoverilog: fix width/sign detection for functions
Zachary Snow [Mon, 30 May 2022 20:45:39 +0000 (16:45 -0400)]
verilog: fix width/sign detection for functions

23 months agoMerge pull request #3347 from DanielHuisman/fix-3053
Miodrag Milanović [Mon, 30 May 2022 15:03:39 +0000 (17:03 +0200)]
Merge pull request #3347 from DanielHuisman/fix-3053

Fix typo in emcc flags (typo introduced by #3053)

23 months agoFix typo in emcc flags (typo introduced by #3053)
Daniel Huisman [Mon, 30 May 2022 15:01:02 +0000 (17:01 +0200)]
Fix typo in emcc flags (typo introduced by #3053)

23 months agoverilog: fix size and signedness of array querying functions
Jannis Harder [Fri, 20 May 2022 19:46:39 +0000 (21:46 +0200)]
verilog: fix size and signedness of array querying functions

genrtlil.cc and simplify.cc had inconsistent and slightly broken
handling of signedness for array querying functions. These functions are
defined to return a signed result. Simplify always produced an unsigned
and genrtlil always a signed 32-bit result ignoring the context.

Includes tests for the the relvant edge cases for context dependent
conversions.

23 months agoBump version
github-actions[bot] [Sat, 28 May 2022 00:16:59 +0000 (00:16 +0000)]
Bump version

23 months agogatemate: Fix minor issues with `memory_libmap` (#3343)
Patrick Urban [Fri, 27 May 2022 21:35:26 +0000 (23:35 +0200)]
gatemate: Fix minor issues with `memory_libmap` (#3343)

23 months agoMerge pull request #3333 from mohamed/feature/tmpdir
Miodrag Milanović [Fri, 27 May 2022 14:51:16 +0000 (16:51 +0200)]
Merge pull request #3333 from mohamed/feature/tmpdir

Observe $TMPDIR variable when creating tmp files

23 months agoCleanup, and fix windows
Miodrag Milanovic [Fri, 27 May 2022 14:13:55 +0000 (16:13 +0200)]
Cleanup, and fix windows

23 months agoObserve $TMPDIR variable when creating tmp files
Mohamed A. Bamakhrama [Mon, 23 May 2022 20:21:45 +0000 (22:21 +0200)]
Observe $TMPDIR variable when creating tmp files

POSIX defines $TMPDIR as containing the pathname of the directory where
programs can create temporary files. On most systems, this variable points to
"/tmp". However, on some systems it can point to a different location.
Without respecting this variable, yosys fails to run on such systems.

Signed-off-by: Mohamed A. Bamakhrama <mohamed@alumni.tum.de>
23 months agoMerge pull request #3341 from mmicko/unused_vars
Miodrag Milanović [Fri, 27 May 2022 12:45:35 +0000 (14:45 +0200)]
Merge pull request #3341 from mmicko/unused_vars

Remove set but unused variable

23 months agoUpload emscripten artifact
Miodrag Milanovic [Fri, 27 May 2022 12:15:25 +0000 (14:15 +0200)]
Upload emscripten artifact

23 months agoRemove set but unused variable
Miodrag Milanovic [Fri, 27 May 2022 10:37:03 +0000 (12:37 +0200)]
Remove set but unused variable

23 months agoAdd emcc build (stuck if all cpus used on GH)
Miodrag Milanovic [Fri, 27 May 2022 09:05:17 +0000 (11:05 +0200)]
Add emcc build (stuck if all cpus used on GH)

23 months agoProper std::move
Miodrag Milanovic [Fri, 27 May 2022 09:04:16 +0000 (11:04 +0200)]
Proper std::move

23 months agoUse proper operator
Miodrag Milanovic [Fri, 27 May 2022 08:23:34 +0000 (10:23 +0200)]
Use proper operator

23 months agoMerge pull request #3053 from DanielHuisman/pr-2
Miodrag Milanović [Fri, 27 May 2022 08:13:44 +0000 (10:13 +0200)]
Merge pull request #3053 from DanielHuisman/pr-2

Fix emcc warnings for WebAssembly build

23 months agoBump version
github-actions[bot] [Thu, 26 May 2022 00:17:28 +0000 (00:17 +0000)]
Bump version

23 months agoverilog: fix $past's signedness
Jannis Harder [Tue, 24 May 2022 15:18:53 +0000 (17:18 +0200)]
verilog: fix $past's signedness

23 months agoMerge pull request #3011 from DanielHuisman/pr-1
Miodrag Milanović [Wed, 25 May 2022 15:34:19 +0000 (17:34 +0200)]
Merge pull request #3011 from DanielHuisman/pr-1

Update WaveDrom script URLs in YosysJS demo

23 months agoMerge pull request #3335 from programmerjake/divfloor-in-write_smt2
Jannis Harder [Wed, 25 May 2022 10:25:04 +0000 (12:25 +0200)]
Merge pull request #3335 from programmerjake/divfloor-in-write_smt2

add $divfloor support to write_smt2

23 months agoMerge pull request #3138 from DanielG/fix-git-rev
Miodrag Milanović [Wed, 25 May 2022 09:33:11 +0000 (11:33 +0200)]
Merge pull request #3138 from DanielG/fix-git-rev

Make GIT_REV logic work in release tarballs

23 months agoMake GIT_REV logic work in release tarballs
Daniel Gröber [Thu, 30 Dec 2021 17:45:15 +0000 (18:45 +0100)]
Make GIT_REV logic work in release tarballs

Currently GIT_REV doesn't get set properly when building a release
tarball. To fix this we arrange for .gitcommit to contain the (short)
commit hash in tarballs generated with git-archive(1) using export-subst in
gitattributes. This way the correct commit hash is (reproducibly) included
in the release tarballs while not burdening the maintainers with updating
it in the git repo.

Please note this even works on Github and similar forges as they use
git-archive for generating tarballs so this works out quite nicely.

23 months agoverilog: fix signedness when removing unreachable cases
Jannis Harder [Tue, 24 May 2022 12:32:14 +0000 (14:32 +0200)]
verilog: fix signedness when removing unreachable cases

23 months agoadd $divfloor support to write_smt2 divfloor-in-write_smt2
Jacob Lifshay [Tue, 24 May 2022 08:34:25 +0000 (01:34 -0700)]
add $divfloor support to write_smt2

Fixes: #3330
23 months agoBump version
github-actions[bot] [Tue, 24 May 2022 00:18:18 +0000 (00:18 +0000)]
Bump version

23 months agoMerge pull request #3332 from YosysHQ/verific_f
Miodrag Milanović [Mon, 23 May 2022 18:01:44 +0000 (20:01 +0200)]
Merge pull request #3332 from YosysHQ/verific_f

Update Verific command file documentation

23 months agofix text to fit 80 columns
Miodrag Milanovic [Mon, 23 May 2022 17:57:21 +0000 (19:57 +0200)]
fix text to fit 80 columns

23 months agoUpdate verific command file documentation
Miodrag Milanovic [Mon, 23 May 2022 17:35:14 +0000 (19:35 +0200)]
Update verific command file documentation

23 months agoUse analysis mode if set in file
Miodrag Milanovic [Mon, 23 May 2022 17:13:45 +0000 (19:13 +0200)]
Use analysis mode if set in file

23 months agoMerge pull request #3331 from YosysHQ/git_rev_fix
Miodrag Milanović [Mon, 23 May 2022 16:33:11 +0000 (18:33 +0200)]
Merge pull request #3331 from YosysHQ/git_rev_fix

work around the new(ish) git safe.directory restrictions

23 months agoChange way to get commit sha
Jannis Harder [Mon, 23 May 2022 15:04:07 +0000 (17:04 +0200)]
Change way to get commit sha