remove unneeded import
[gram.git] / gram / compat.py
2022-02-28 Luke Kenneth Casso... remove unneeded import
2020-07-29 Jean THOMASAdd more checks in delayed_enter
2020-07-29 Jean THOMASUse RoundRobin implementation from nMigen
2020-06-24 Jean THOMASSimply arbiter when there is only 1 request to arbitrate
2020-06-19 Jean THOMASRemove tests from gram.compat
2020-06-18 Jean THOMASAdd unit tests for delayed_enter
2020-06-18 Jean THOMASAdd test case for delayed_enter
2020-06-16 Jean THOMASPulse trigger signal rather than continuous trigger...
2020-06-16 Jean THOMASAdd testing for Timeline elaboratable
2020-06-09 Jean THOMASRun autopep8
2020-06-08 Jean THOMASAdd CSRPrefixProxy to gram.compat
2020-06-08 Jean THOMASAdd copyright
2020-06-04 Jean THOMASCorrect nMigen transition bugs
2020-06-03 Jean THOMASInitial commit