Fix simulation to support diff pairs
[gram.git] / gram / simulation / simsoctb.v
2020-08-04 Jean THOMASFix simulation to support diff pairs
2020-07-30 Jean THOMASSet default value for dram_rst
2020-07-28 Jean THOMASMake R/W tests more intense
2020-07-28 Jean THOMASAdd speedtest_write task
2020-07-28 Jean THOMASRemove simticks
2020-07-28 Jean THOMASAdd speedtest_read task in testbench
2020-07-27 Jean THOMASRemove reference to UART
2020-07-27 Jean THOMASWire directly to the Wishbone bus, making simulations...
2020-07-21 Jean THOMASUse 0x00BA0BAB instead of 0x12345678 for better readability
2020-07-20 Jean THOMASUse PinsN when possible (fixes #27)
2020-07-17 Jean THOMASReduce delay between wishbone_write
2020-07-17 Jean THOMASFix DQS_N errors
2020-07-17 Jean THOMASAdd more read transactions, add checks, ASAP
2020-07-16 Jean THOMASUse assertions in simsoc testbench
2020-07-16 Jean THOMASAdd logging and delays to the simulation to make it...
2020-07-15 Jean THOMASMake gram simulations faster
2020-07-15 Jean THOMASIncrease UART bridge speed in simulation, decrease...
2020-07-15 Jean THOMASLog RAM signals
2020-07-13 Jean THOMASFix gearing and UART speed
2020-07-10 Jean THOMASFix timings in simulation to prevent tDLLK errors
2020-07-10 Jean THOMASAdd POR start/end logging in simsoc testbench
2020-07-08 Jean THOMASFix clock input
2020-07-08 Jean THOMAScke => clk_en in SoC testbench
2020-07-06 Jean THOMASAdd write transactions in the simulation testbench
2020-07-02 Jean THOMASAdd missing command issue strobe for ZQ calibration
2020-07-02 Jean THOMASFix register addresses, add missing command_issue strobe
2020-07-01 Jean THOMASFix merge
2020-07-01 Jean THOMASRework indentation and add Wishbone tests
2020-07-01 Jean THOMASAdd Wishbone interaction code
2020-07-01 Jean THOMASAdd Wishbone interaction code
2020-06-29 Jean THOMASDefine simulation time as a parameter
2020-06-29 Jean THOMASSet DRAM's CK_N to low
2020-06-29 Jean THOMASSet UART RX to 1'b1
2020-06-26 Jean THOMASAdd testbench for SoC simulation