vendor.xilinx_7series: byte swap generated bitstream
[nmigen.git] / nmigen / vendor / xilinx_7series.py
2020-11-03 Norbert Braunvendor.xilinx_7series: byte swap generated bitstream
2020-08-26 whitequarkvendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVIC...
2020-08-26 whitequarkvendor.xilinx_7series: unbreak.
2020-08-26 whitequarklib.cdc: in AsyncFFSynchronizer(), rename domain= to...
2020-08-24 Mariusz Glebockivendor: Add initial support for Symbiflow for Xilinx...
2020-08-24 Mariusz Glebockivendor.xilinx_7series: add `_part` property getter
2020-07-31 whitequarkbuild,vendor: never carry around parts of differential...
2020-07-31 whitequarkvendor.xilinx_{7series,ultrascale}: use BUFGCTRL rather...
2020-07-23 whitequarkvendor.xilinx_{7series,ultrascale}: add SIM_DEVICE...
2020-07-08 whitequarkvendor.xilinx_{7series,ultrascale}: remove `grade`...
2020-07-02 whitequarkvendor: `yosys` is not a required tool for proprietary...
2020-05-21 whitequarkvendor.xilinx_{7series,ultrascale}: don't use `write_ve...
2020-05-20 whitequarkvendor.xilinx_{7series,ultrascale}: add (*keep*) on...
2020-05-02 whitequarkplat, vendor: systematically escape net and file names...
2020-03-15 Nicolas Robinvendor: fix typo `async_ff_sync`
2020-03-12 whitequarkvendor: fix a few issues in commit 2f8669ca.
2020-03-08 awyglelib.cdc: extract AsyncFFSynchronizer.
2020-02-06 whitequarkbuild.res,vendor: place clock constraint on port, not...
2020-02-06 whitequarkxilinx_{7series,ultrascale}: run `report_methodology`.
2019-11-18 Jean-François Nguyenvendor.xilinx_*: Set IOB attribute on cels instead...
2019-10-13 EmilyRefactor build script toolchain lookups.
2019-10-10 whitequarkxilinx_7series: add grade platform property.
2019-10-10 whitequarkvendor: yosys is a required tool for all Verilog-based...
2019-10-09 whitequarkbuild.plat,vendor: always synchronize reset in default...
2019-09-24 whitequarkbuild.plat: strip internal attributes from Verilog...
2019-09-24 whitequarklib.cdc: specify maximum input delay in seconds.
2019-09-24 Darrell Harmonvendor.xilinx_7series: apply false path / max delay...
2019-09-23 whitequarkvendor.xilinx_7series: simplify. NFC.
2019-09-23 whitequarkvendor.xilinx_7series: override reset synchronizer.
2019-09-23 whitequarklib.cdc: avoid modifying synchronizers in their elabora...
2019-09-23 whitequarklib.cdc: MultiReg→FFSynchronizer.
2019-09-21 whitequarkbuild.plat: NMIGEN_<toolchain>_env→NMIGEN_ENV_<toolchain>
2019-09-20 Darrell Harmonvendor.xilinx_{7series,spartan3_6}: specialize MultiReg.
2019-09-12 whitequarkvendor.xilinx_7series: Vivado requires bash on *nix...
2019-09-11 whitequarkbuild.plat,vendor: allow clock constraints on arbitrary...
2019-08-31 Emily_toolchain,build.plat,vendor.*: add required_tools...
2019-08-22 whitequarkvendor: eliminate unnecessary LUT instantiation.
2019-08-21 Darrell Harmonvendor.xilinx_series7: use STARTUPE2, not STARTUPE3.
2019-08-21 whitequarkvendor: style. NFC.
2019-08-04 whitequarkvendor.xilinx_{spartan_3_6,7series}: reconsider default...
2019-08-03 whitequarkbuild.plat,vendor: automatically create sync domain...
2019-07-21 N. Engelhardtvendor: don't emit duplicate iobuf submodule names.
2019-07-07 Alain Péteutvendor.xilinx_7series: generate also binary bitfile.
2019-07-07 whitequarkbuild.plat: source a script with toolchain environment.
2019-07-06 whitequarkbuild.plat, vendor.*: don't join strings passed as...
2019-07-04 Staf Verhaegenvendor.xilinx_{7series,spartan6}: Support extra VHDL...
2019-07-03 whitequarkvendor: give names to IO buffer instances.
2019-07-02 Alain Péteutbuild.plat: add iter_extra_files method.
2019-07-02 Alain Péteutvendor.xilinx_7series: read extra .xdc files.
2019-06-25 whitequarkvendor.xilinx_{spartan6,7series}: speedgrade→speed.
2019-06-17 Jean-François Nguyenvendor.xilinx_7series: fix IOB packing.
2019-06-17 whitequarkvendor.xilinx_{7series,spartan6}: emit IBUF/OBUF explic...
2019-06-17 whitequarkvendor.xilinx_{7series,spartan6}: cleanup. NFC.
2019-06-17 whitequarkvendor.xilinx_{7series,spartan6}: connect FCDE and...
2019-06-13 Jean-François Nguyenvendor.xilinx_7series: implement inverters.
2019-06-12 Jean-François Nguyenvendor.xilinx_7series: implement DDR I/O buffers.
2019-06-12 whitequarkbuild.{dsl,res,plat}: add PinsN and DiffPairsN.
2019-06-07 Jean-François Nguyenvendor.xilinx_7series: fix typos.
2019-06-06 Jean-François Nguyenvendor.xilinx_7series: implement.