Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / tests /
2021-08-13 Brett Witherspoonsv: improve support for wire and var with user-defined...
2021-08-11 Marcelina Kościelnickatest/arch/{ecp5,ice40}/memories.ys: Use read_verilog...
2021-08-11 Marcelina Kościelnickamemory_dff: Recognize read ports with reset / initial...
2021-08-11 Marcelina Kościelnickaproc_memwr: Use the v2 memwr cell.
2021-08-11 Marcelina KościelnickaAdd v2 memory cells.
2021-08-07 Marcelina Kościelnickaopt_merge: Use FfInitVals.
2021-07-30 Zachary Snowproc_rmdead: use explicit pattern set when there are...
2021-07-30 Zachary Snowgenrtlil: add width detection for AST_PREFIX nodes
2021-07-29 Marcelina Kościelnickaopt_lut: Allow more than one -dlogic per cell type.
2021-07-29 Zachary Snowverilog: save and restore overwritten macro arguments
2021-07-28 Marcelina Kościelnickaverilog: Emit $meminit_v2 cell.
2021-07-27 Marcelina Kościelnickaopt_expr: Propagate constants to port connections.
2021-07-17 whitequarkMerge pull request #2879 from whitequark/cxxrtl-fix...
2021-07-16 Rupert SwarbrickAdd support for parsing the SystemVerilog 'bind' construct
2021-07-16 whitequarkMerge pull request #2870 from whitequark/cxxrtl-fix...
2021-07-15 Zachary Snowsv: fix two struct access bugs
2021-07-15 Rupert SwarbrickAdd a test for interfaces on modules loaded on-demand
2021-07-05 Claire XenMerge pull request #2835 from YosysHQ/verific_command
2021-06-18 Miodrag MilanovićMerge pull request #2836 from YosysHQ/gatecat/pyosys...
2021-06-17 Zachary Snowsv: fix up end label checking
2021-06-11 Marcelina KościelnickaAdd regression test for #2824.
2021-06-09 Claire XenMerge pull request #2817 from YosysHQ/claire/fixemails
2021-06-09 Claire Xenia WolfMore deadname stuff
2021-06-09 Claire Xenia WolfMore deadname stuff
2021-06-09 Claire Xenia WolfUse HTTPS for website links, gatecat email
2021-06-09 Claire Xenia WolfFix files with CRLF line endings
2021-06-08 Zachary Snowverilog: check for module scope identifiers during...
2021-06-08 Zachary Snowmem2reg: tolerate out of bounds constant accesses
2021-06-07 Claire Xenia WolfFixing old e-mail addresses and deadnames
2021-06-01 Zachary Snowsv: support tasks and functions within packages
2021-05-31 Marcelina Kościelnickamemory_map: Improve start_offset handling.
2021-05-25 Marcelina Kościelnickamemory_bram: Reuse extract_rdff helper for make_outreg.
2021-05-25 Zachary Snowverilog: fix case expression sign and width handling
2021-05-25 Zachary Snowsv: support remaining assignment operators
2021-05-25 Marcelina Kościelnickaopt_mem_feedback: Respect write port priority.
2021-05-24 Marcelina Kościelnickaopt_mem_feedback: Rewrite feedback path finding logic.
2021-05-23 Marcelina KościelnickaAdd new helper class for merging FFs into cells, use...
2021-05-23 Marcelina Kościelnickaopt_mem: Remove write ports with const-0 EN.
2021-05-20 Marcelina Kościelnickatests/blif: Add missing gitignore
2021-05-15 gatecatintel_alm: Fix illegal carry chains
2021-05-15 gatecatintel_alm: Add global buffer insertion
2021-05-15 gatecatintel_alm: Add IO buffer insertion
2021-05-10 Zachary Snowsv: check validity of package end label
2021-05-08 Marcelina Kościelnickablif: Use library cells' start_offset and upto for...
2021-05-04 Marcelina Kościelnickaopt_dff: Fix NOT gates wired in reverse.
2021-04-21 Claire XenMerge pull request #2669 from YosysHQ/claire/ice40defaults
2021-04-20 Claire Xenia WolfAdd default assignments to SB_LUT4
2021-04-17 Loftyquicklogic: ABC9 synthesis
2021-03-30 Zachary Snowpreproc: test coverage for #2712
2021-03-30 Eddie Hungabc9: uniquify blackboxes like whiteboxes (#2695)
2021-03-30 Eddie Hungabc9: fix SCC issues (#2694)
2021-03-23 N. EngelhardtMerge pull request #2696 from nakengelhardt/guidelines
2021-03-23 Marcelina Kościelnickaquicklogic: Add .gitignore file for test outputs.
2021-03-21 Xiretzaverilog: check entire user type stack for type definition
2021-03-19 Zachary Snowsv: allow typenames as function return types
2021-03-19 Miodrag MilanovićMerge pull request #2681 from msinger/fix-issue2606
2021-03-18 Loftyquicklogic: PolarPro 3 support
2021-03-17 Marcelina Kościelnickaast: Use better parameter serialization for paramod...
2021-03-17 gatecatBlackbox all whiteboxes after synthesis
2021-03-17 Zachary Snowsv: carry over global typedefs from previous files
2021-03-17 Xiretzaverilog: fix buf/not primitives with multiple outputs
2021-03-17 gatecatblackbox: Include whiteboxed modules
2021-03-16 Zachary Snowverilog: support module scope identifiers in parametric...
2021-03-15 Marcelina Kościelnickaproc_arst: Add special-casing of clock signal in condit...
2021-03-15 Marcelina Kościelnickaopt_clean: Remove init attribute bits together with...
2021-03-15 Marcelina Kościelnickartlil: Disallow 0-width chunks in SigSpec.
2021-03-14 whitequarkMerge pull request #2658 from zachjs/parameters-across...
2021-03-12 Zachary Snowsv: allow globals in one file to depend on globals...
2021-03-12 whitequarkMerge pull request #2653 from zachjs/global-parameter
2021-03-11 whitequarkMerge pull request #2642 from whitequark/cxxrtl-noproc...
2021-03-11 Zachary Snowverilog: disallow overriding global parameters
2021-03-09 whitequarkMerge pull request #2643 from zachjs/fix-param-no-defau...
2021-03-08 Marcelina Kościelnickamemory_dff: Remove now-useless write port handling. working-ls180
2021-03-08 Marcelina Kościelnickaproc_dff: Fix emitted FF when a register is not assigne...
2021-03-08 Marcelina Kościelnickatests/bram: Do not generate write address collisions.
2021-03-07 whitequarkMerge pull request #2626 from zachjs/param-no-default
2021-03-07 whitequarkMerge pull request #2632 from zachjs/width-limit
2021-03-06 Zachary Snowsv: fix some edge cases for unbased unsized literals
2021-03-04 Zachary Snowverilog: impose limit on maximum expression width
2021-03-02 Zachary Snowsv: support for parameters without default values
2021-03-02 whitequarkMerge pull request #2620 from zachjs/port-int-types
2021-03-01 Zachary Snowverilog: fix sizing of ports with int types in module...
2021-03-01 Zachary Snowverilog: fix handling of nested ifdef directives
2021-03-01 Zachary SnowSet aside extraneous tests in simple_abc9 test suite
2021-03-01 Claire XenMerge pull request #2523 from tomverbeure/define_synthesis
2021-03-01 Claire XenMerge pull request #2524 from bkbncn/patch-1
2021-03-01 whitequarkMerge pull request #2617 from RobertBaruch/doc
2021-03-01 whitequarkMerge pull request #2615 from zachjs/genrtlil-conflict
2021-03-01 whitequarkMerge pull request #2618 from zachjs/int-types
2021-02-28 Zachary Snowsv: extended support for integer types
2021-02-26 Zachary Snowgenrtlil: improve name conflict error messaging
2021-02-26 Michael SingerAdd tests for $countbits
2021-02-25 whitequarkMerge pull request #2554 from hzeller/master
2021-02-24 TimRudyExtend "delay" expressions to handle pair and triplet...
2021-02-24 Marcelina KościelnickaAdd tests for some common techmap files.
2021-02-23 whitequarkMerge pull request #2594 from zachjs/func-arg-width
2021-02-23 William D. Jonesmachxo2: Switch to LUT4 sim model which propagates...
2021-02-23 William D. Jonesmachxo2: Update tribuf test to reflect active-low OE.
2021-02-23 William D. Jonesmachxo2: Add believed-to-be-correct tribuf test.
2021-02-23 William D. Jonesmachxo2: Add passing fsm, mux, and shifter tests.
next