Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / tests /
2022-05-17 Miodrag MilanovićMerge pull request #3310 from robinsonb5-PRs/master master
2022-05-16 Jannis HarderMerge pull request #3314 from jix/sva_value_change_logi...
2022-05-12 Marcelina KościelnickaAdd proc_rom pass.
2022-05-11 Jannis Harderverific: Use new value change logic also for $stable...
2022-05-09 Jannis HarderMerge pull request #3305 from jix/sva_value_change_logic
2022-05-09 Jannis HarderMerge pull request #3297 from jix/sva_nested_clk_else
2022-05-09 Jannis Harderverific: Improve logic generated for SVA value change...
2022-05-09 Miodrag MilanovićMerge pull request #3299 from YosysHQ/mmicko/sim_memory
2022-05-09 Miodrag MilanovicFix running sva tests
2022-05-07 Marcelina Kościelnickaopt_mem: Remove constant-value bit lanes.
2022-05-03 Jannis Harderverific: Fix conditions of SVAs with explicit clocks...
2022-04-07 CatherineMerge pull request #3269 from YosysHQ/micko/fix_autotop
2022-04-05 Zachary Snowsv: fix always_comb auto nosync for nested and function...
2022-04-02 Jannis HarderMerge pull request #3264 from jix/invalid_ff_dcinit_merge
2022-04-01 Jannis Harderopt_merge: Add `-keepdc` option required for formal...
2022-03-30 Miodrag MilanovićMerge pull request #3259 from YosysHQ/micko/verific_val...
2022-03-30 Miodrag MilanovicFix valgrind tests when using verific
2022-03-28 LoftyMerge pull request #3194 from Ravenslofty/abc9-flow3mfs
2022-03-14 Claire XenMerge pull request #3213 from antonblanchard/abc-typo
2022-03-14 Miodrag MilanovicProper example code
2022-03-11 Miodrag MilanovićMerge pull request #3228 from YosysHQ/micko/disable_tests
2022-03-11 Miodrag MilanovićMerge pull request #3226 from YosysHQ/micko/btor2witness
2022-03-09 Loftyintel_alm: M10K write-enable is negative-true
2022-03-07 Miodrag MilanovićMerge pull request #3210 from rqou/json-signed
2022-03-04 Miodrag MilanovićMerge pull request #3186 from nakengelhardt/smtbmc_sby_...
2022-03-04 Miodrag MilanovićMerge pull request #3206 from YosysHQ/micko/quote_remove
2022-03-04 Miodrag MilanovićMerge pull request #3207 from nakengelhardt/json_escape...
2022-02-22 Claire XenMerge pull request #3197 from YosysHQ/claire/smtbmcfix
2022-02-21 Miodrag MilanovićMerge pull request #3203 from YosysHQ/micko/sim_ff
2022-02-18 N. Engelhardtfix handling of escaped chars in json backend and frontend
2022-02-16 Miodrag Milanovictest dlatchsr and adlatch
2022-02-16 Miodrag MilanovicAdded test cases
2022-02-14 Zachary Snowverilog: support for time scale delay values
2022-02-14 Kamil RakoczyFix access to whole sub-structs (#3086)
2022-02-11 Zachary Snowverilog: fix dynamic dynamic range asgn elab
2022-02-11 Zachary Snowverilog: fix const func eval with upto variables
2022-02-11 Miodrag MilanovićMerge pull request #3164 from zachjs/fix-ast-warn
2022-02-11 Claire XenMerge branch 'master' into clk2ff-better-names
2022-02-11 Claire XenMerge pull request #2019 from boqwxp/glift
2022-02-09 Miodrag MilanovićMerge pull request #3193 from YosysHQ/micko/verific_f
2022-02-09 Marcelina Kościelnickagowin: Fix LUT RAM inference, add more models.
2022-02-07 Miodrag MilanovićMerge pull request #3185 from YosysHQ/micko/co_sim
2022-02-04 Miodrag Milanovicbug fix and cleanups
2022-02-02 Miodrag MilanovicAdd test cases for co-simulation
2022-01-30 Marcelina Kościelnickaopt_reduce: Add $bmux and $demux optimization patterns.
2022-01-19 Miodrag MilanovićMerge pull request #3120 from Icenowy/anlogic-bram
2022-01-17 N. EngelhardtMerge pull request #3145 from nakengelhardt/advertise_s...
2022-01-08 Zachary Snowsv: auto add nosync to certain always_comb local vars
2022-01-08 Zachary Snowsv: fix size cast internal expression extension
2022-01-04 Zachary Snowlogger: fix unmatched expected warnings and errors
2022-01-03 Zachary Snowfix iverilog compatibility for new case expr tests
2022-01-03 Zachary Snowfixup verilog doubleslash test
2022-01-03 Zachary Snowsv: fix size cast clipping expression width
2021-12-25 CatherineMerge pull request #3127 from whitequark/cxxrtl-no...
2021-12-20 Marcelina Kościelnickamemory_share: Fix SAT-based sharing for wide ports.
2021-12-18 Zachary Snowfix width detection of array querying function in case...
2021-12-17 Icenowy Zhenganlogic: support BRAM mapping
2021-12-16 CatherineMerge pull request #3115 from whitequark/issue-3112
2021-12-16 CatherineMerge pull request #3114 from whitequark/issue-3113
2021-12-16 Thomas Sailerpreprocessor: do not destroy double slash escaped ident...
2021-12-10 Miodrag MilanovićMerge pull request #3097 from YosysHQ/modport
2021-12-10 Claire XenMerge pull request #3099 from YosysHQ/claire/readargs
2021-12-09 Claire Xenia WolfFix the tests we just broke
2021-12-03 Miodrag MilanovicAdd gitignore for gatemate
2021-11-25 Loftysta: very crude static timing analysis pass
2021-11-16 Kamil RakoczySupport parameters using struct as a wiretype (#3050)
2021-11-13 Patrick Urbansynth_gatemate: Update pass
2021-11-13 Patrick Urbansynth_gatemate: Apply new test practice with assert-max
2021-11-13 Patrick Urbansynth_gatemate: Fix fsm test
2021-11-13 Patrick UrbanAllow initial blocks to be disabled during tests
2021-11-13 Patrick Urbansynth_gatemate: Initial implementation
2021-11-10 Claire XenMerge pull request #3077 from YosysHQ/claire/genlib
2021-11-09 Marcelina Kościelnickaiopadmap: Add native support for negative-polarity...
2021-10-27 Marcelina Kościelnickadfflegalize: Add tests for aldff lowering.
2021-10-27 Marcelina Kościelnickadfflegalize: Add tests targetting aldff.
2021-10-27 Marcelina Kościelnickadfflegalize: Refactor, add aldff support.
2021-10-26 Zachary Snowverilog: use derived module info to elaborate cell...
2021-10-21 Marcelina Kościelnickaextract_reduce: Refactor and fix input signal construction.
2021-10-19 Miodrag MilanovićMerge pull request #3045 from galibert/master
2021-10-19 Claire Xenia WolfFixes in vcdcd.pl for newer Perl versions
2021-10-11 Claire XenMerge pull request #3039 from YosysHQ/claire/verific_aldff
2021-10-08 Marcelina KościelnickaFix a regression from #3035.
2021-10-07 Marcelina KościelnickaFfData: some refactoring.
2021-09-24 Claire XenMerge pull request #3014 from YosysHQ/claire/fix-vgtest
2021-09-23 Claire Xenia WolfFix "make vgtest" so it runs to the end (but now it...
2021-09-21 Zachary Snowsv: support wand and wor of data types
2021-09-21 Zachary Snowverilog: fix multiple AST_PREFIX scope resolution issues
2021-09-09 Eddie Hungabc9: make re-entrant (#2993)
2021-09-09 Eddie Hungabc9: holes module to instantiate cells with NEW_ID...
2021-09-09 Eddie Hungabc9: replace cell type/parameters if derived type...
2021-08-31 Zachary Snowsv: support declaration in generate for initialization
2021-08-30 Zachary Snowsv: support declaration in procedural for initialization
2021-08-22 Marcelina Kościelnickaopt_clean: Make the init attribute follow the FF's Q.
2021-08-20 Pepijn de VosGowin: deal with active-low tristate (#2971)
2021-08-14 Marcelina Kościelnickaproc_prune: Make assign removal and promotion per-bit...
2021-08-13 Marcelina KościelnickaAdd opt_mem_widen pass.
2021-08-13 Marcelina Kościelnickamemory_share: Add -nosat and -nowiden options.
2021-08-13 Marcelina Kościelnickamemory_dff: Recognize soft transparency logic.
2021-08-13 Marcelina KościelnickaAdd new opt_mem_priority pass.
2021-08-13 Miodrag MilanovićMerge pull request #2932 from YosysHQ/mwk/logger-check...
next