nmigen.git
3 years agohdl.ir: Update error message for Instance arguments
Xiretza [Fri, 16 Oct 2020 16:36:56 +0000 (18:36 +0200)]
hdl.ir: Update error message for Instance arguments

48d4ee4 added the option to specify attributes using Instance arguments,
but the error message wasn't updated accordingly.

3 years agoREADME: Quicklogic EOS S3 is now supported.
whitequark [Thu, 15 Oct 2020 18:10:39 +0000 (18:10 +0000)]
README: Quicklogic EOS S3 is now supported.

3 years agoCI: fix code coverage collection.
whitequark [Thu, 15 Oct 2020 18:09:04 +0000 (18:09 +0000)]
CI: fix code coverage collection.

This has been broken since commit d71e19e2 (2020-07-22).

3 years agovendor.quicklogic: new platform.
Jan Kowalewski [Thu, 15 Oct 2020 18:02:25 +0000 (20:02 +0200)]
vendor.quicklogic: new platform.

Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
Signed-off-by: Jan Kowalewski <jkowalewski@antmicro.com>
Co-authored-by: Kamil Rakoczy <krakoczy@antmicro.com>
3 years agotests: keep comments up to date. NFC.
whitequark [Thu, 15 Oct 2020 17:02:50 +0000 (17:02 +0000)]
tests: keep comments up to date. NFC.

3 years agobuild.plat: avoid type confusion in _check_feature.
whitequark [Thu, 15 Oct 2020 08:54:48 +0000 (08:54 +0000)]
build.plat: avoid type confusion in _check_feature.

Before this commit, `_check_feature(valid_xdrs=0)` would mean that
XDR buffers are not supported. Only `_check_feature(valid_xdrs=())`
was intended to be an indicator of that.

3 years agohdl.mem: document ReadPort and WritePort.
Jean-François Nguyen [Tue, 15 Sep 2020 00:20:35 +0000 (02:20 +0200)]
hdl.mem: document ReadPort and WritePort.

Fixes #496.

3 years agovendor.lattice_{ecp5,machxo_2_3l}: explain how to set up NMIGEN_ENV_Diamond on Windows.
William D. Jones [Sat, 29 Aug 2020 19:34:57 +0000 (15:34 -0400)]
vendor.lattice_{ecp5,machxo_2_3l}: explain how to set up NMIGEN_ENV_Diamond on Windows.

3 years agosetup: synchronize builtin-yosys dependency.
whitequark [Thu, 27 Aug 2020 13:39:42 +0000 (13:39 +0000)]
setup: synchronize builtin-yosys dependency.

3 years agoback.verilog: use `proc -nomux` if it is available.
whitequark [Thu, 27 Aug 2020 13:03:15 +0000 (13:03 +0000)]
back.verilog: use `proc -nomux` if it is available.

Yosys offers no stability guarantees for individual `proc_*` passes,
though so far it worked out fine. This commit changes the Verilog
backend to use `proc -nomux` instead, which is guaranteed to have
backwards-compatible behavior.

Fixes #479.

3 years agosim: split into base, core, and engines.
whitequark [Thu, 27 Aug 2020 10:17:02 +0000 (10:17 +0000)]
sim: split into base, core, and engines.

Before this commit, each simulation engine (which is only pysim at
the moment, but also cxxsim soon) was a subclass of SimulatorCore,
and every simulation engine module would essentially duplicate
the complete structure of a simulator, with code partially shared.

This was a really bad idea: it was inconvenient to use, with
downstream code having to branch between e.g. PySettle and CxxSettle;
it had no well-defined external interface; it had multiple virtually
identical entry points; and it had no separation between simulation
algorithms and glue code.

This commit completely rearranges simulation code.
  1. sim._base defines internal simulation interfaces. The clarity of
     these internal interfaces is important because simulation
     engines mix and match components to provide a consistent API
     regardless of the chosen engine.
  2. sim.core defines the external simulation interface: the commands
     and the simulator facade. The facade provides a single entry
     point and, when possible, validates or lowers user input.
     It also imports built-in simulation engines by their symbolic
     name, avoiding eager imports of pyvcd or ctypes.
  3. sim.xxxsim (currently, only sim.pysim) defines the simulator
     implementation: time and state management, process scheduling,
     and waveform dumping.

The new simulator structure has none of the downsides of the old one.

See #324.

3 years agosim.pysim: in write_vcd(), close files if an exception is raised.
whitequark [Thu, 27 Aug 2020 08:33:48 +0000 (08:33 +0000)]
sim.pysim: in write_vcd(), close files if an exception is raised.

This also avoids leaving the waveform writer list in an inconsistent
state after an exception.

3 years agosim._pyclock: new type of process.
whitequark [Thu, 27 Aug 2020 07:54:27 +0000 (07:54 +0000)]
sim._pyclock: new type of process.

The overhead of coroutine processes is fairly high. A clock driver
implemented through a coroutine process is mostly overhead. This was
partially addressed in commit 2398b792 by microoptimizing yielding.

This commit eliminates the coroutine process overhead completely by
introducing dedicated clock processes. It also simplifies the logic
to a simple toggle.

This change improves runtime by about 12% on Minerva SRAM SoC.

3 years agosim._pycoro: make src_loc() more robust.
whitequark [Thu, 27 Aug 2020 07:11:14 +0000 (07:11 +0000)]
sim._pycoro: make src_loc() more robust.

  * Guard for finished coroutines.
  * Guard for coroutines yielding from iterators and not generators.

3 years ago_toolchain.cxx: work around PyPy missing LDCXXSHARED sysconfig variable.
whitequark [Thu, 27 Aug 2020 06:53:14 +0000 (06:53 +0000)]
_toolchain.cxx: work around PyPy missing LDCXXSHARED sysconfig variable.

3 years ago_toolchain.cxx: new toolchain.
whitequark [Thu, 27 Aug 2020 06:24:18 +0000 (06:24 +0000)]
_toolchain.cxx: new toolchain.

3 years agohdl.ast: clarify exception message for out of bounds indexing.
whitequark [Thu, 27 Aug 2020 01:14:05 +0000 (01:14 +0000)]
hdl.ast: clarify exception message for out of bounds indexing.

Fixes #488.

3 years agonmigen.test.utils: restore FHDLTestCase to gracefully deprecate it.
whitequark [Thu, 27 Aug 2020 00:46:50 +0000 (00:46 +0000)]
nmigen.test.utils: restore FHDLTestCase to gracefully deprecate it.

Fixes #484.

3 years agotests: move out of the main package.
whitequark [Thu, 27 Aug 2020 00:33:31 +0000 (00:33 +0000)]
tests: move out of the main package.

Compared to tests in the repository root, tests in the package have
many downsides:
  * Unless explicitly excluded in find_packages(), tests and their
    support code effectively become a part of public API.
    This, unfortunately, happened with FHDLTestCase, which was never
    intended for downstream use.
  * Even if explicitly excluded from the setuptools package, using
    an editable install, or setting PYTHONPATH still allows accessing
    the tests.
  * Having a sub-package that is present in the source tree but not
    exported (or, worse, exported only sometimes) is confusing.
  * The name `nmigen.test` cannot be used for anything else, such as
    testing utilities that *are* intended for downstream use.

3 years agobuild.run: implement SSH remote builds using Paramiko.
William D. Jones [Wed, 26 Aug 2020 22:49:49 +0000 (18:49 -0400)]
build.run: implement SSH remote builds using Paramiko.

3 years agoback.rtlil: do not squash empty modules.
whitequark [Wed, 26 Aug 2020 22:45:19 +0000 (22:45 +0000)]
back.rtlil: do not squash empty modules.

In commit 9faa1d37, the RTLIL backend was changed to ignore modules
without ports completely, since Yosys would recognize empty modules
as black boxes without explicit `write_verilog -noblackbox` and break
the design. That change had many flaws:
  * It removed instances without ports, which are used in e.g. SoC
    FPGAs to instantiate a dummy CPU.
  * It removed fragments without ports, which can appear in e.g. SoC
    FPGAs in case the fabric is not connected to any I/O ports.
  * Finally, it was just conceptually unjustified.

This commit changes the logic to actually check for empty fragments,
and instead of removing them, it adds a dummy wire inside. It would
be possible to use the Yosys-specific (*noblackbox*) attribute.
However, it would be necessary to strip it for most targets right
away, and also the wire doubles as documentation.

Fixes #441.

3 years agoback.verilog: omit Verilog initial trigger only if Yosys adds it.
whitequark [Wed, 26 Aug 2020 09:01:57 +0000 (09:01 +0000)]
back.verilog: omit Verilog initial trigger only if Yosys adds it.

Verilog has an edge case where an `always @*` process, which is used
to describe a combinatorial function procedurally, may not execute
at time zero because none of the signals in its implicit sensitivity
list change, i.e. when the process doesn't read any signals. This
causes the wires driven by the process to stay undefined.

The workaround to this problem (assuming SystemVerilog `always_comb`
is not available) is to introduce a dummy signal that changes only
at time zero and is optimized out during synthesis. nMigen has had
its own workaround, `$verilog_initial_trigger`, for a while. However,
`proc_prune`, while increasing readability, pulls references to this
signal out of the process. Because of this, a similar workaround was
implemented in Yosys' `write_verilog` itself.

This commit ensures we use our workaround on versions of Yosys
without the updated `write_verilog`, and Yosys' workaround on later
versions.

Fixes #418.

3 years agovendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVICE as appropriate.
whitequark [Wed, 26 Aug 2020 10:18:02 +0000 (10:18 +0000)]
vendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVICE as appropriate.

Fixes #438 (again).

3 years agovendor.xilinx_7series: unbreak.
whitequark [Wed, 26 Aug 2020 14:57:31 +0000 (14:57 +0000)]
vendor.xilinx_7series: unbreak.

This commit fixes a series of typos introduced in commit 4e208b0a.

3 years agosim._pyrtl: optimize uses of reflexive operators.
whitequark [Wed, 26 Aug 2020 13:26:38 +0000 (13:26 +0000)]
sim._pyrtl: optimize uses of reflexive operators.

When a literal is used on the left-hand side of a numeric operator,
Python is able to constant-fold some expressions:

    >>> dis.dis(lambda x: 0 + 0 + x)
      1           0 LOAD_CONST               1 (0)
                  2 LOAD_FAST                0 (x)
                  4 BINARY_ADD
                  6 RETURN_VALUE

If a literal is used on the right-hand side such that the left-hand
side is variable, this doesn't happen:

    >>> dis.dis(lambda x: x + 0 + 0)
      1           0 LOAD_FAST                0 (x)
                  2 LOAD_CONST               1 (0)
                  4 BINARY_ADD
                  6 LOAD_CONST               1 (0)
                  8 BINARY_ADD
                 10 RETURN_VALUE

PyRTL generates fairly redundant code due to the pervasive masking,
and because of that, transforming expressions into the former form,
where possible, improves runtime by about 10% on Minerva SRAM SoC.

3 years agoback.cxxrtl: actualize Yosys version requirement.
whitequark [Wed, 26 Aug 2020 09:16:46 +0000 (09:16 +0000)]
back.cxxrtl: actualize Yosys version requirement.

3 years agohdl.ast: avoid unnecessary sign padding in ArrayProxy.
whitequark [Wed, 26 Aug 2020 06:58:22 +0000 (06:58 +0000)]
hdl.ast: avoid unnecessary sign padding in ArrayProxy.

Before this commit, ArrayProxy would add sign padding (an extra bit)
a homogeneous array of signed values, or an array where all unsigned
values are smaller than the largest signed one. After this commit,
ArrayProxy would only add padding in arrays with mixed signedness
where all signed values are smaller or equal in size to the largest
unsigned value.

Fixes #476.

Co-authored-by: Pepijn de Vos <pepijndevos@gmail.com>
3 years agosim._pyrtl: fix miscompilation of -(Const(0b11, 2).as_signed()).
whitequark [Wed, 26 Aug 2020 04:15:26 +0000 (04:15 +0000)]
sim._pyrtl: fix miscompilation of -(Const(0b11, 2).as_signed()).

Fixes #473.

3 years agolib.cdc: in AsyncFFSynchronizer(), rename domain= to o_domain=.
whitequark [Wed, 26 Aug 2020 03:19:13 +0000 (03:19 +0000)]
lib.cdc: in AsyncFFSynchronizer(), rename domain= to o_domain=.

This is for consistency with other synchronizers.

Fixes #467.

3 years agovendor.lattice_machxo_2_3l: add SRAM svf generation
Robin Ole Heinemann [Tue, 4 Aug 2020 15:30:18 +0000 (17:30 +0200)]
vendor.lattice_machxo_2_3l: add SRAM svf generation

3 years agovendor: Add initial support for Symbiflow for Xilinx 7-series
Mariusz Glebocki [Sun, 2 Aug 2020 16:48:26 +0000 (18:48 +0200)]
vendor: Add initial support for Symbiflow for Xilinx 7-series

3 years agovendor.xilinx_7series: add `_part` property getter
Mariusz Glebocki [Mon, 24 Aug 2020 11:03:59 +0000 (13:03 +0200)]
vendor.xilinx_7series: add `_part` property getter

3 years agocli: Improve help texts
Xiretza [Sat, 22 Aug 2020 13:46:58 +0000 (15:46 +0200)]
cli: Improve help texts

545e49c2 added the option to export as CXXRTL, but the help texts for
the CLI options don't reflect this yet.

3 years agodocs/lang: use less confusing placeholder variable names.
whitequark [Sat, 15 Aug 2020 13:00:50 +0000 (13:00 +0000)]
docs/lang: use less confusing placeholder variable names.

Fixes #474.

3 years agolib.fifo: add `r_level` and `w_level` to all FIFOs
awygle [Sat, 15 Aug 2020 08:40:56 +0000 (01:40 -0700)]
lib.fifo: add `r_level` and `w_level` to all FIFOs

3 years agoAdd Linguist tags to .gitattributes.
whitequark [Thu, 13 Aug 2020 03:10:17 +0000 (03:10 +0000)]
Add Linguist tags to .gitattributes.

This should make it possible to navigate to nmigen/vendor/*.py using
GitHub's file finder.

3 years agovendor.lattice_{ecp5,machxo_2_3l}: specify impl-dir correctly
Robin Ole Heinemann [Mon, 10 Aug 2020 15:23:29 +0000 (17:23 +0200)]
vendor.lattice_{ecp5,machxo_2_3l}: specify impl-dir correctly

3 years agobuild,vendor: never carry around parts of differential signals.
whitequark [Fri, 31 Jul 2020 13:17:39 +0000 (13:17 +0000)]
build,vendor: never carry around parts of differential signals.

When a port component is skipped, it should appear neither in the RTL
nor in the constraint file. However, passing around components of
differential ports explicitly makes that harder.

Fixes #456.
Supersedes #457.

Co-authored-by: Jean THOMAS <git0@pub.jeanthomas.me>
3 years agovendor.xilinx_{7series,ultrascale}: use BUFGCTRL rather than BUFGCE.
whitequark [Fri, 31 Jul 2020 14:45:38 +0000 (14:45 +0000)]
vendor.xilinx_{7series,ultrascale}: use BUFGCTRL rather than BUFGCE.

Fixes #438 (again).

3 years agohdl.mem: cast reset value for transparent read ports to integer.
Adam Greig [Thu, 30 Jul 2020 07:05:18 +0000 (08:05 +0100)]
hdl.mem: cast reset value for transparent read ports to integer.

3 years agonmigen.lib.scheduler: add RoundRobin.
Jean THOMAS [Tue, 28 Jul 2020 21:02:01 +0000 (23:02 +0200)]
nmigen.lib.scheduler: add RoundRobin.

3 years agotests: fix remove unnecessary workaround for some unittest assertions.
Jacob Graves [Tue, 28 Jul 2020 19:35:25 +0000 (13:35 -0600)]
tests: fix remove unnecessary workaround for some unittest assertions.

3 years agovendor.xilinx_{7series,ultrascale}: add SIM_DEVICE parameter.
whitequark [Wed, 22 Jul 2020 02:13:10 +0000 (02:13 +0000)]
vendor.xilinx_{7series,ultrascale}: add SIM_DEVICE parameter.

The parameter defaults to "ULTRASCALE", even when synthesizing for
7-series devices. This could lead to a simulation/synthesis mismatch,
and causes a warning.

Fixes #438.

3 years agovendor.lattice_ecp5: add missing differential IO types.
Jean THOMAS [Thu, 23 Jul 2020 12:24:31 +0000 (14:24 +0200)]
vendor.lattice_ecp5: add missing differential IO types.

3 years agoback.rtlil: lower maximum accepted wire size.
whitequark [Wed, 22 Jul 2020 14:43:44 +0000 (14:43 +0000)]
back.rtlil: lower maximum accepted wire size.

In practice wires of just 100000 bits sometimes have unacceptable
performance with Yosys, so stick to Verilog's minimum limit of 65536
bits.

3 years agosim._pycoro: avoid spurious wakeups.
whitequark [Wed, 22 Jul 2020 14:32:45 +0000 (14:32 +0000)]
sim._pycoro: avoid spurious wakeups.

This bug was introduced in commit e435a217.

3 years agoCI: replace Travis with GitHub Actions.
whitequark [Wed, 22 Jul 2020 08:11:59 +0000 (08:11 +0000)]
CI: replace Travis with GitHub Actions.

Fixes #445.

3 years agocompat.fhdl.bitcontainer: fix value_bits_sign().
whitequark [Tue, 21 Jul 2020 02:53:29 +0000 (02:53 +0000)]
compat.fhdl.bitcontainer: fix value_bits_sign().

This function was broken in commit 659b0e81; some downstream code
expects bits_sign to be e.g. indexable.

3 years agoCI: use WASM yosys instead of building our own.
whitequark [Thu, 16 Jul 2020 08:00:10 +0000 (08:00 +0000)]
CI: use WASM yosys instead of building our own.

Fixes #434.

3 years agoback.rtlil: fix guard for division by zero.
whitequark [Wed, 15 Jul 2020 04:09:58 +0000 (04:09 +0000)]
back.rtlil: fix guard for division by zero.

Oops... that should be checking the divisor, not the dividend. This
was discovered by running the test suite on cxxsim.

3 years agodocs: add install instructions for arch
Filipe Laíns [Mon, 13 Jul 2020 23:42:02 +0000 (00:42 +0100)]
docs: add install instructions for arch

Signed-off-by: Filipe Laíns <lains@archlinux.org>
3 years agoCI: run on pull requests as well, not just pushes.
whitequark [Tue, 14 Jul 2020 00:25:11 +0000 (00:25 +0000)]
CI: run on pull requests as well, not just pushes.

3 years agolib.cdc: fix typo.
whitequark [Mon, 13 Jul 2020 23:16:27 +0000 (23:16 +0000)]
lib.cdc: fix typo.

Co-authored-by: @ECP5-PCIe
3 years agosim.pysim: write the next, not curr signal value to the VCD file
Jacob Lifshay [Mon, 13 Jul 2020 02:10:01 +0000 (19:10 -0700)]
sim.pysim: write the next, not curr signal value to the VCD file

This is a temporary fix for #429.

3 years agosim.pysim: use VCD aliases to reduce space and time overhead.
whitequark [Sat, 11 Jul 2020 12:25:31 +0000 (12:25 +0000)]
sim.pysim: use VCD aliases to reduce space and time overhead.

On Minerva SoC, this reduces VCD file size by about 35%, and reduces
runtime overhead of writing VCDs by 10% or less.

3 years agosim: simplify. NFC.
whitequark [Wed, 8 Jul 2020 17:30:06 +0000 (17:30 +0000)]
sim: simplify. NFC.

3 years agoback.pysim→sim.pysim; split into more manageable parts.
whitequark [Wed, 8 Jul 2020 12:49:38 +0000 (12:49 +0000)]
back.pysim→sim.pysim; split into more manageable parts.

This is necessary to add cxxrtl as an alternate simulation engine.

3 years agovendor.xilinx_{7series,ultrascale}: remove `grade` property.
whitequark [Wed, 8 Jul 2020 09:08:00 +0000 (09:08 +0000)]
vendor.xilinx_{7series,ultrascale}: remove `grade` property.

This was added in commit bfd4538d based on a misunderstanding of how
Xilinx part numbers work.
 * non-ultrascale 7-series parts don't have temperature grades;
 * ultrascale parts have temperature grade as a part of speed grade.

3 years agoback.pysim: only extract signal names if VCD is requested.
whitequark [Wed, 8 Jul 2020 08:29:20 +0000 (08:29 +0000)]
back.pysim: only extract signal names if VCD is requested.

This commit also fixes an issue introduced in 2606ee33 that regressed
simulator startup time and bloated VCD files. (It's actually about
10% faster now than *before* the regression was introduced.)

3 years agoback.pysim: reset timeline as well.
whitequark [Wed, 8 Jul 2020 07:12:00 +0000 (07:12 +0000)]
back.pysim: reset timeline as well.

This is a bug that was introduced in 94faf497b.

3 years agoback.pysim: simplify. NFC.
whitequark [Wed, 8 Jul 2020 06:29:34 +0000 (06:29 +0000)]
back.pysim: simplify. NFC.

3 years agoback.pysim: extract timeline handling to class _Timeline. NFC.
whitequark [Wed, 8 Jul 2020 06:04:50 +0000 (06:04 +0000)]
back.pysim: extract timeline handling to class _Timeline. NFC.

3 years agoback.pysim: extract simulator commands to sim._cmds. NFC.
whitequark [Wed, 8 Jul 2020 05:42:33 +0000 (05:42 +0000)]
back.pysim: extract simulator commands to sim._cmds. NFC.

3 years agoback.pysim: simplify. NFC.
whitequark [Wed, 8 Jul 2020 03:55:09 +0000 (03:55 +0000)]
back.pysim: simplify. NFC.

3 years agohdl.ast: don't inherit Shape from NamedTuple.
awygle [Tue, 7 Jul 2020 05:17:03 +0000 (22:17 -0700)]
hdl.ast: don't inherit Shape from NamedTuple.

Fixes #421.

3 years agoback.pysim: simplify.
whitequark [Tue, 7 Jul 2020 04:29:13 +0000 (04:29 +0000)]
back.pysim: simplify.

Compiled process names were never particularly useful (comments in
the source would make more sense for debugging), and coroutine
process names were actually source locations.

3 years agoback.pysim: simplify. NFC.
whitequark [Tue, 7 Jul 2020 04:19:05 +0000 (04:19 +0000)]
back.pysim: simplify. NFC.

3 years agoback.pysim: simplify. NFC.
whitequark [Tue, 7 Jul 2020 04:09:10 +0000 (04:09 +0000)]
back.pysim: simplify. NFC.

3 years agoback.pysim: synchronize waveform writing with cxxrtl.
whitequark [Tue, 7 Jul 2020 04:06:06 +0000 (04:06 +0000)]
back.pysim: synchronize waveform writing with cxxrtl.

3 years agoback.pysim: synchronize terms with cxxrtl. NFC.
whitequark [Tue, 7 Jul 2020 02:35:04 +0000 (02:35 +0000)]
back.pysim: synchronize terms with cxxrtl. NFC.

3 years agoback.pysim: simplify. NFC.
whitequark [Tue, 7 Jul 2020 02:14:06 +0000 (02:14 +0000)]
back.pysim: simplify. NFC.

3 years agoback.pysim: simplify. NFC.
whitequark [Tue, 7 Jul 2020 01:59:25 +0000 (01:59 +0000)]
back.pysim: simplify. NFC.

3 years agoRemove everything deprecated in nmigen 0.2.
whitequark [Mon, 6 Jul 2020 16:01:49 +0000 (16:01 +0000)]
Remove everything deprecated in nmigen 0.2.

3 years agoUpdate license and copyright info
Alan Green [Wed, 1 Jul 2020 21:29:30 +0000 (17:29 -0400)]
Update license and copyright info

Remove non-license explanatory text from LICENSE.txt.

Create CONTRIBUTING file with instructions and notes for contributors.

This change relates to issue #412

3 years agovendor.lattice_ecp5: Add support for io with xdr=7
Konrad Beckmann [Mon, 6 Jul 2020 14:04:24 +0000 (16:04 +0200)]
vendor.lattice_ecp5: Add support for io with xdr=7

This adds support for IOs with xdr=7 using the
IODDR71B and ODDR71B primitives.

3 years agovendor.lattice_ecp5: Add support for io with xdr=4
Konrad Beckmann [Mon, 6 Jul 2020 14:01:19 +0000 (16:01 +0200)]
vendor.lattice_ecp5: Add support for io with xdr=4

This adds support for IOs with xdr=4 using the
IDDRX2F and ODDRX2F primitives.

3 years agodocs: use working sphinxcontrib-platformpicker.
whitequark [Sun, 5 Jul 2020 23:51:14 +0000 (23:51 +0000)]
docs: use working sphinxcontrib-platformpicker.

3 years agodocs: use sphinxcontrib-platformpicker.
whitequark [Sun, 5 Jul 2020 23:39:47 +0000 (23:39 +0000)]
docs: use sphinxcontrib-platformpicker.

Fixes #416.

3 years agodocs: link to community tutorials until we have an official one.
whitequark [Sat, 4 Jul 2020 02:09:35 +0000 (02:09 +0000)]
docs: link to community tutorials until we have an official one.

3 years agodocs/lang: document constshifts.
whitequark [Thu, 2 Jul 2020 23:11:35 +0000 (23:11 +0000)]
docs/lang: document constshifts.

3 years agodocs/index: rename to "Language & toolchain".
whitequark [Thu, 2 Jul 2020 23:08:10 +0000 (23:08 +0000)]
docs/index: rename to "Language & toolchain".

3 years agotest: remove FHDLTestCase.assertRaisesRegex.
whitequark [Thu, 2 Jul 2020 22:49:04 +0000 (22:49 +0000)]
test: remove FHDLTestCase.assertRaisesRegex.

This method is only there because I misunderstood the documentation
of unittest.

3 years agocompat.fhdl.specials: fix handling of tristate (i=None) pins.
whitequark [Thu, 2 Jul 2020 22:22:44 +0000 (22:22 +0000)]
compat.fhdl.specials: fix handling of tristate (i=None) pins.

Fixes #406.

3 years ago_yosys→_toolchain.yosys
whitequark [Thu, 2 Jul 2020 18:26:08 +0000 (18:26 +0000)]
_yosys→_toolchain.yosys

3 years agovendor: `yosys` is not a required tool for proprietary toolchains.
whitequark [Thu, 2 Jul 2020 18:13:54 +0000 (18:13 +0000)]
vendor: `yosys` is not a required tool for proprietary toolchains.

Since commit b9799b4c, the discovery mechanism for the Yosys required
to produce Verilog is different from the usual require_tool(); namely
it is possible to produce Verilog without a `yosys` binary on PATH.

Fixes #419.

3 years agosetup: add wheel as setup dependency.
whitequark [Wed, 1 Jul 2020 21:04:25 +0000 (21:04 +0000)]
setup: add wheel as setup dependency.

See commit 416b2531aed20ce7e661f2a211c2fb76311fe83b.
See commit 7fca037f9c14974f499195171bb84c38ebddc1e1.

3 years agosetup: gracefully recover from missing setuptools_scm.
whitequark [Wed, 1 Jul 2020 21:04:04 +0000 (21:04 +0000)]
setup: gracefully recover from missing setuptools_scm.

3 years agosetup: link to the right documentation version from pip metadata.
whitequark [Wed, 1 Jul 2020 20:49:41 +0000 (20:49 +0000)]
setup: link to the right documentation version from pip metadata.

3 years agoUpdate README.
whitequark [Wed, 1 Jul 2020 20:17:10 +0000 (20:17 +0000)]
Update README.

3 years agoUpdate README.
whitequark [Wed, 1 Jul 2020 19:16:01 +0000 (19:16 +0000)]
Update README.

3 years agodocs/install: use pip/pip3 more consistently.
whitequark [Wed, 1 Jul 2020 08:58:36 +0000 (08:58 +0000)]
docs/install: use pip/pip3 more consistently.

3 years agodocs: clarify naming.
whitequark [Wed, 1 Jul 2020 08:53:52 +0000 (08:53 +0000)]
docs: clarify naming.

3 years agoRevert "Add PEP 518 `pyproject.toml`."
whitequark [Wed, 1 Jul 2020 08:16:28 +0000 (08:16 +0000)]
Revert "Add PEP 518 `pyproject.toml`."

This reverts commit 7fca037f9c14974f499195171bb84c38ebddc1e1.

This broke editable installs and has to be reverted due to a number
of pip issues:
  * pypa/pip#6375
  * pypa/pip#6434
  * pypa/pip#6438

We can put this back once PEP 517/518 support editable installs.
Until then the legacy behavior will suffice, and we should just teach
people to install the dependencies in virtualenvs or something...

3 years agodocs: add a crude approximation of intersphinx toctrees.
whitequark [Wed, 1 Jul 2020 08:03:57 +0000 (08:03 +0000)]
docs: add a crude approximation of intersphinx toctrees.

This is a pretty awful hack. We could do this properly after one of
the following issues is fixed:
  * sphinx-doc/sphinx#701
  * sphinx-doc/sphinx#1836

3 years agoGracefully handle missing dependencies.
whitequark [Wed, 1 Jul 2020 07:00:02 +0000 (07:00 +0000)]
Gracefully handle missing dependencies.

Some people's workflows involve not using `pip`. This is not
a recommended way to use nMigen, but is prevalent enough for good
enough reason that we try to keep them working anyway.

3 years agodocs/install: fix dead link.
whitequark [Wed, 1 Jul 2020 06:33:03 +0000 (06:33 +0000)]
docs/install: fix dead link.

3 years agodocs/install: quote special chars to avoid issues with extended glob.
whitequark [Wed, 1 Jul 2020 06:32:15 +0000 (06:32 +0000)]
docs/install: quote special chars to avoid issues with extended glob.

3 years agodocs/install: explain how to install non-editable snapshot from git.
whitequark [Wed, 1 Jul 2020 06:15:16 +0000 (06:15 +0000)]
docs/install: explain how to install non-editable snapshot from git.

3 years agoAdd PEP 518 `pyproject.toml`.
whitequark [Wed, 1 Jul 2020 05:58:05 +0000 (05:58 +0000)]
Add PEP 518 `pyproject.toml`.

This is necessary to be able to install nMigen into a virtualenv that
does not have `wheel` installed in certain cases.

See #349.

3 years agodocs/install: use `pip install --user` on *nix.
whitequark [Wed, 1 Jul 2020 05:06:20 +0000 (05:06 +0000)]
docs/install: use `pip install --user` on *nix.