yosys.git
7 years agoMinor README updates
Clifford Wolf [Sat, 3 Sep 2016 16:49:53 +0000 (18:49 +0200)]
Minor README updates

7 years agoAdded boolector support to yosys-smtbmc
Clifford Wolf [Sat, 3 Sep 2016 12:26:00 +0000 (14:26 +0200)]
Added boolector support to yosys-smtbmc

7 years agoMerge branch 'smtbmc-kmanfi'
Clifford Wolf [Fri, 2 Sep 2016 11:55:51 +0000 (13:55 +0200)]
Merge branch 'smtbmc-kmanfi'

7 years agoMade examples/smtbmc/demo1.v more interesting
Clifford Wolf [Fri, 2 Sep 2016 11:54:24 +0000 (13:54 +0200)]
Made examples/smtbmc/demo1.v more interesting

7 years agoDon't re-create hex_dict for each value
Clifford Wolf [Fri, 2 Sep 2016 11:46:56 +0000 (13:46 +0200)]
Don't re-create hex_dict for each value

7 years agoMore PEP 8 fixes.
Kaj Tuomi [Fri, 2 Sep 2016 10:09:09 +0000 (13:09 +0300)]
More PEP 8 fixes.

7 years agoIndentation and PEP 8 fixes. CamelCase and white space after semicolon.
Kaj Tuomi [Fri, 2 Sep 2016 10:01:31 +0000 (13:01 +0300)]
Indentation and PEP 8 fixes. CamelCase and white space after semicolon.

7 years agoUse dict lookup instead of many ifs.
Kaj Tuomi [Fri, 2 Sep 2016 09:50:23 +0000 (12:50 +0300)]
Use dict lookup instead of many ifs.

7 years agoFix: Unresolved reference.
Kaj Tuomi [Fri, 2 Sep 2016 08:12:30 +0000 (11:12 +0300)]
Fix: Unresolved reference.

7 years agoSome syntax fixes. Generator and comma separated list modifications.
Kaj Tuomi [Fri, 2 Sep 2016 08:02:19 +0000 (11:02 +0300)]
Some syntax fixes. Generator and comma separated list modifications.

7 years agoAdded "prep -nomem"
Clifford Wolf [Tue, 30 Aug 2016 21:57:24 +0000 (23:57 +0200)]
Added "prep -nomem"

7 years agoAdded $anyconst support to yosys-smtbmc
Clifford Wolf [Tue, 30 Aug 2016 17:27:42 +0000 (19:27 +0200)]
Added $anyconst support to yosys-smtbmc

7 years agoRemoved $aconst cell type
Clifford Wolf [Tue, 30 Aug 2016 17:09:56 +0000 (19:09 +0200)]
Removed $aconst cell type

7 years agoFixed memory bug in write_smt2
Clifford Wolf [Tue, 30 Aug 2016 12:49:47 +0000 (14:49 +0200)]
Fixed memory bug in write_smt2

7 years agoMade "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
Clifford Wolf [Tue, 30 Aug 2016 10:40:09 +0000 (12:40 +0200)]
Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"

7 years agoAdded $anyconst support to smt2 back-end
Clifford Wolf [Tue, 30 Aug 2016 09:26:10 +0000 (11:26 +0200)]
Added $anyconst support to smt2 back-end

7 years agoImproved init spec handling in opt_rmdff, modernized the code a bit
Clifford Wolf [Mon, 29 Aug 2016 23:34:04 +0000 (01:34 +0200)]
Improved init spec handling in opt_rmdff, modernized the code a bit

7 years agoAdded "yosys-smtbmc --dump-all"
Clifford Wolf [Mon, 29 Aug 2016 20:41:45 +0000 (22:41 +0200)]
Added "yosys-smtbmc --dump-all"

7 years agoMore yosys-smtbmc bugfixes
Clifford Wolf [Mon, 29 Aug 2016 12:53:32 +0000 (14:53 +0200)]
More yosys-smtbmc bugfixes

7 years agoVarious fixes and improvements in yosys-smtbmc
Clifford Wolf [Mon, 29 Aug 2016 11:53:12 +0000 (13:53 +0200)]
Various fixes and improvements in yosys-smtbmc

7 years agoRemoved $predict again
Clifford Wolf [Sun, 28 Aug 2016 19:35:33 +0000 (21:35 +0200)]
Removed $predict again

7 years agoImproved "show" help message
Clifford Wolf [Sun, 28 Aug 2016 10:34:36 +0000 (12:34 +0200)]
Improved "show" help message

7 years agoSome changes to yosys-smtbmc cmd line options, add --final-only
Clifford Wolf [Sat, 27 Aug 2016 20:04:15 +0000 (22:04 +0200)]
Some changes to yosys-smtbmc cmd line options, add --final-only

7 years agoFixed handling of transparent bram rd ports on ROMs
Clifford Wolf [Sat, 27 Aug 2016 15:06:22 +0000 (17:06 +0200)]
Fixed handling of transparent bram rd ports on ROMs

7 years agoAdded smtc "final" statement
Clifford Wolf [Sat, 27 Aug 2016 12:30:36 +0000 (14:30 +0200)]
Added smtc "final" statement

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Fri, 26 Aug 2016 21:36:15 +0000 (23:36 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoMerge pull request #215 from frznchckn/to_upstream
Clifford Wolf [Fri, 26 Aug 2016 21:36:05 +0000 (23:36 +0200)]
Merge pull request #215 from frznchckn/to_upstream

Add some useful flexibility to build process

7 years agoAdded read_verilog -norestrict -assume-asserts
Clifford Wolf [Fri, 26 Aug 2016 21:35:27 +0000 (23:35 +0200)]
Added read_verilog -norestrict -assume-asserts

7 years agoRelax test to see if yosys dir is a git repository in Makefile
Russell L Friesenhahn [Fri, 26 Aug 2016 16:15:36 +0000 (11:15 -0500)]
Relax test to see if yosys dir is a git repository in Makefile

This prevents the test from failing in the case that yosys is a
submodule of a repository since for a submodule the .git is actually a
file containing the location of the submodule's .git directory

7 years agoAllow redefining of the ABC repository URL
Russell L Friesenhahn [Wed, 17 Aug 2016 03:07:36 +0000 (22:07 -0500)]
Allow redefining of the ABC repository URL

For persons or organizations that prefer to keep their own mirrors of
repositories, users may now specify the URL of the ABC Mercurial
repository that yosys clones during build.

The URL may be set in the Makefile directly, on the
command-line, or in the environment

7 years agoVarious fixes and improvements in smt2 back-end
Clifford Wolf [Fri, 26 Aug 2016 15:33:02 +0000 (17:33 +0200)]
Various fixes and improvements in smt2 back-end

7 years agoImproved verilog parser errors
Clifford Wolf [Thu, 25 Aug 2016 09:44:25 +0000 (11:44 +0200)]
Improved verilog parser errors

7 years agoMore yosys-smtbmc smtc features
Clifford Wolf [Wed, 24 Aug 2016 21:18:29 +0000 (23:18 +0200)]
More yosys-smtbmc smtc features

7 years agoyosys-smtbmc --smtc -g
Clifford Wolf [Wed, 24 Aug 2016 20:09:50 +0000 (22:09 +0200)]
yosys-smtbmc --smtc -g

7 years agoAdded SV "restrict" keyword
Clifford Wolf [Wed, 24 Aug 2016 13:30:08 +0000 (15:30 +0200)]
Added SV "restrict" keyword

7 years agoMinor yosys-smtbmc bugfix
Clifford Wolf [Mon, 22 Aug 2016 15:45:01 +0000 (17:45 +0200)]
Minor yosys-smtbmc bugfix

7 years agoAdded "yosys-smtbmc --constr"
Clifford Wolf [Mon, 22 Aug 2016 15:27:43 +0000 (17:27 +0200)]
Added "yosys-smtbmc --constr"

7 years agoAdded "yosys-smtbmc --dump-constr"
Clifford Wolf [Mon, 22 Aug 2016 14:48:46 +0000 (16:48 +0200)]
Added "yosys-smtbmc --dump-constr"

7 years agoAdded glob support to all front-ends
Clifford Wolf [Mon, 22 Aug 2016 13:05:57 +0000 (15:05 +0200)]
Added glob support to all front-ends

7 years agoFixed bug with memories that do not have a down-to-zero data width
Clifford Wolf [Mon, 22 Aug 2016 12:27:46 +0000 (14:27 +0200)]
Fixed bug with memories that do not have a down-to-zero data width

7 years agoFixed bug in memory_share for memory ports with different ABITS
Clifford Wolf [Mon, 22 Aug 2016 12:26:33 +0000 (14:26 +0200)]
Fixed bug in memory_share for memory ports with different ABITS

7 years agoyosys-smtbmc: improved --dump-vlogtb handling of memories
Clifford Wolf [Sun, 21 Aug 2016 13:56:22 +0000 (15:56 +0200)]
yosys-smtbmc: improved --dump-vlogtb handling of memories

7 years agoAdded another mem2reg test case
Clifford Wolf [Sun, 21 Aug 2016 11:45:46 +0000 (13:45 +0200)]
Added another mem2reg test case

7 years agoAnother bugfix in mem2reg code
Clifford Wolf [Sun, 21 Aug 2016 11:23:58 +0000 (13:23 +0200)]
Another bugfix in mem2reg code

7 years agoMinor improvements to AstNode::dumpAst() and AstNode::dumpVlog()
Clifford Wolf [Sun, 21 Aug 2016 11:18:09 +0000 (13:18 +0200)]
Minor improvements to AstNode::dumpAst() and AstNode::dumpVlog()

7 years agoAdded examples/smtbmc/demo2.v
Clifford Wolf [Sat, 20 Aug 2016 16:44:27 +0000 (18:44 +0200)]
Added examples/smtbmc/demo2.v

7 years agoAdded "yosys-smtbmc --dump-vlogtb"
Clifford Wolf [Sat, 20 Aug 2016 16:43:39 +0000 (18:43 +0200)]
Added "yosys-smtbmc --dump-vlogtb"

7 years agoAdded support for memories to smtio.py
Clifford Wolf [Sat, 20 Aug 2016 16:42:32 +0000 (18:42 +0200)]
Added support for memories to smtio.py

7 years agoDeprecated "write_smt2 -regs" (by default on now), and some other smt2 back-end impro...
Clifford Wolf [Sat, 20 Aug 2016 16:41:57 +0000 (18:41 +0200)]
Deprecated "write_smt2 -regs" (by default on now), and some other smt2 back-end improvements

7 years agoAdded "yosys-smtbmc -g"
Clifford Wolf [Sat, 20 Aug 2016 14:32:50 +0000 (16:32 +0200)]
Added "yosys-smtbmc -g"

7 years agoAdded smtbmc longopt support
Clifford Wolf [Sat, 20 Aug 2016 14:07:59 +0000 (16:07 +0200)]
Added smtbmc longopt support

7 years agoFixed finish_addr handling in $readmemh/$readmemb
Clifford Wolf [Sat, 20 Aug 2016 11:47:46 +0000 (13:47 +0200)]
Fixed finish_addr handling in $readmemh/$readmemb

7 years agoBugfix in partial mem write handling in verilog back-end
Clifford Wolf [Sat, 20 Aug 2016 11:06:06 +0000 (13:06 +0200)]
Bugfix in partial mem write handling in verilog back-end

7 years agoAdded "wreduce -memx"
Clifford Wolf [Sat, 20 Aug 2016 10:52:50 +0000 (12:52 +0200)]
Added "wreduce -memx"

7 years agoAdded memory_memx pass, "memory -memx", and "prep -memx"
Clifford Wolf [Fri, 19 Aug 2016 17:48:26 +0000 (19:48 +0200)]
Added memory_memx pass, "memory -memx", and "prep -memx"

7 years agoOptimize memory address port width in wreduce and memory_collect, not verilog front-end
Clifford Wolf [Fri, 19 Aug 2016 16:38:25 +0000 (18:38 +0200)]
Optimize memory address port width in wreduce and memory_collect, not verilog front-end

7 years agoAdded missing support for mem read enable ports to verilog back-end
Clifford Wolf [Thu, 18 Aug 2016 19:47:02 +0000 (21:47 +0200)]
Added missing support for mem read enable ports to verilog back-end

7 years agoBugfix in test_autotb
Clifford Wolf [Thu, 18 Aug 2016 11:43:12 +0000 (13:43 +0200)]
Bugfix in test_autotb

7 years agoImproved smtbmc vcd generation performance
Clifford Wolf [Thu, 18 Aug 2016 09:17:45 +0000 (11:17 +0200)]
Improved smtbmc vcd generation performance

7 years agoAdded printing of code loc of failed asserts to yosys-smtbmc
Clifford Wolf [Wed, 17 Aug 2016 18:10:02 +0000 (20:10 +0200)]
Added printing of code loc of failed asserts to yosys-smtbmc

7 years agoFixed default build config
Clifford Wolf [Tue, 16 Aug 2016 20:44:38 +0000 (22:44 +0200)]
Fixed default build config

7 years agoMerge pull request #203 from cr1901/master
Clifford Wolf [Tue, 16 Aug 2016 20:41:53 +0000 (22:41 +0200)]
Merge pull request #203 from cr1901/master

Add MSYS2-compatible build.

7 years agoAdd MSYS2-compatible build.
William D. Jones [Tue, 16 Aug 2016 18:41:37 +0000 (14:41 -0400)]
Add MSYS2-compatible build.

7 years agoUse _Exit(0) on win32, always use _Exit(1) in log_error()
Clifford Wolf [Tue, 16 Aug 2016 07:36:49 +0000 (09:36 +0200)]
Use _Exit(0) on win32, always use _Exit(1) in log_error()

7 years agoUpdated ABC to hg rev a86455b00da5
Clifford Wolf [Tue, 16 Aug 2016 07:08:26 +0000 (09:08 +0200)]
Updated ABC to hg rev a86455b00da5

7 years agoFixed use-after-free dict<> usage pattern in hierarchy.cc
Clifford Wolf [Tue, 16 Aug 2016 07:07:13 +0000 (09:07 +0200)]
Fixed use-after-free dict<> usage pattern in hierarchy.cc

7 years agoUpdated ABC to hg rev 760ba358e790
Clifford Wolf [Mon, 15 Aug 2016 22:56:42 +0000 (00:56 +0200)]
Updated ABC to hg rev 760ba358e790

7 years agoABC mxe cross-build fix
Clifford Wolf [Mon, 15 Aug 2016 22:52:10 +0000 (00:52 +0200)]
ABC mxe cross-build fix

7 years agoMinor fixes in show command
Clifford Wolf [Mon, 15 Aug 2016 22:36:24 +0000 (00:36 +0200)]
Minor fixes in show command

7 years agoAdded greenpak4_dffinv
Clifford Wolf [Mon, 15 Aug 2016 07:33:06 +0000 (09:33 +0200)]
Added greenpak4_dffinv

7 years agoFixed upto handling in verilog back-end
Clifford Wolf [Mon, 15 Aug 2016 06:26:20 +0000 (08:26 +0200)]
Fixed upto handling in verilog back-end

7 years agoMerge pull request #200 from azonenberg/master
Clifford Wolf [Sun, 14 Aug 2016 13:49:08 +0000 (15:49 +0200)]
Merge pull request #200 from azonenberg/master

Updates to GP_RCOSC, new GP_DFF*I cells

7 years agogreenpak4: Changed name of inverted output ports for consistency
Andrew Zonenberg [Sun, 14 Aug 2016 07:30:45 +0000 (00:30 -0700)]
greenpak4: Changed name of inverted output ports for consistency

7 years agogreenpak4: Added GP_DFFxI cells
Andrew Zonenberg [Sun, 14 Aug 2016 07:11:44 +0000 (00:11 -0700)]
greenpak4: Added GP_DFFxI cells

7 years agogreenpak4: Renamed ports for better consistency (see azonenberg/openfpga:#6)
Andrew Zonenberg [Sun, 14 Aug 2016 05:27:58 +0000 (22:27 -0700)]
greenpak4: Renamed ports for better consistency (see azonenberg/openfpga:#6)

7 years agoMerge pull request #198 from whitequark/master
Clifford Wolf [Thu, 11 Aug 2016 09:17:44 +0000 (11:17 +0200)]
Merge pull request #198 from whitequark/master

synth_greenpak4: use attrmvcp to move LOC from wires to cells

7 years agosynth_greenpak4: use attrmvcp to move LOC from wires to cells.
whitequark [Wed, 10 Aug 2016 20:09:35 +0000 (20:09 +0000)]
synth_greenpak4: use attrmvcp to move LOC from wires to cells.

7 years agoOnly allow posedge/negedge with 1 bit wide signals
Clifford Wolf [Wed, 10 Aug 2016 17:32:11 +0000 (19:32 +0200)]
Only allow posedge/negedge with 1 bit wide signals

7 years agoFixed some compiler warnings in attrmap command
Clifford Wolf [Wed, 10 Aug 2016 11:44:08 +0000 (13:44 +0200)]
Fixed some compiler warnings in attrmap command

7 years agoAdded "attrmap" command
Clifford Wolf [Tue, 9 Aug 2016 17:56:55 +0000 (19:56 +0200)]
Added "attrmap" command

7 years agoAdded log_const() API
Clifford Wolf [Tue, 9 Aug 2016 17:56:10 +0000 (19:56 +0200)]
Added log_const() API

7 years agoAdded "attrmvcp" pass
Clifford Wolf [Tue, 9 Aug 2016 09:18:48 +0000 (11:18 +0200)]
Added "attrmvcp" pass

7 years agoUse /proc/self/exe on Cygwin as well.
Yury Gribov [Sun, 7 Aug 2016 20:34:33 +0000 (21:34 +0100)]
Use /proc/self/exe on Cygwin as well.

7 years agoUndo "preserve wire attributes in iopadmap" change (it was OK before)
Clifford Wolf [Mon, 8 Aug 2016 09:47:35 +0000 (11:47 +0200)]
Undo "preserve wire attributes in iopadmap" change (it was OK before)

7 years agoAdded "test_autotb -seed" (and "autotest.sh -S")
Clifford Wolf [Sat, 6 Aug 2016 11:32:29 +0000 (13:32 +0200)]
Added "test_autotb -seed" (and "autotest.sh -S")

7 years agopreserve wire attributes in iopadmap
Clifford Wolf [Sat, 6 Aug 2016 11:24:59 +0000 (13:24 +0200)]
preserve wire attributes in iopadmap

7 years agoFixed bug in parsing real constants
Clifford Wolf [Sat, 6 Aug 2016 11:16:23 +0000 (13:16 +0200)]
Fixed bug in parsing real constants

7 years agoAdded "insbuf" command
Clifford Wolf [Tue, 2 Aug 2016 08:37:19 +0000 (10:37 +0200)]
Added "insbuf" command

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Sat, 30 Jul 2016 10:50:39 +0000 (12:50 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoAdded "write_verilog -defparam"
Clifford Wolf [Sat, 30 Jul 2016 10:46:06 +0000 (12:46 +0200)]
Added "write_verilog -defparam"

7 years agoAdded "write_verilog -nodec -nostr"
Clifford Wolf [Sat, 30 Jul 2016 10:38:40 +0000 (12:38 +0200)]
Added "write_verilog -nodec -nostr"

7 years agoAdded $initstate support to smtbmc flow
Clifford Wolf [Wed, 27 Jul 2016 14:11:37 +0000 (16:11 +0200)]
Added $initstate support to smtbmc flow

7 years agoAdded SatGen support for $anyconst
Clifford Wolf [Wed, 27 Jul 2016 13:52:20 +0000 (15:52 +0200)]
Added SatGen support for $anyconst

7 years agoRemoved $predict support from SatGen
Clifford Wolf [Wed, 27 Jul 2016 13:44:11 +0000 (15:44 +0200)]
Removed $predict support from SatGen

7 years agoAdded $anyconst and $aconst
Clifford Wolf [Wed, 27 Jul 2016 13:41:22 +0000 (15:41 +0200)]
Added $anyconst and $aconst

7 years agoAdded "read_verilog -dump_rtlil"
Clifford Wolf [Wed, 27 Jul 2016 13:40:17 +0000 (15:40 +0200)]
Added "read_verilog -dump_rtlil"

7 years agoRenamed AbstractCellEdgesDatabase::add_cell() to add_edges_from_cell()
Clifford Wolf [Mon, 25 Jul 2016 14:39:25 +0000 (16:39 +0200)]
Renamed AbstractCellEdgesDatabase::add_cell() to add_edges_from_cell()

7 years agoFixed a verilog parser memory leak
Clifford Wolf [Mon, 25 Jul 2016 14:37:58 +0000 (16:37 +0200)]
Fixed a verilog parser memory leak

7 years agoFixed parsing of empty positional cell ports
Clifford Wolf [Mon, 25 Jul 2016 10:48:03 +0000 (12:48 +0200)]
Fixed parsing of empty positional cell ports

7 years agoImprovements in CellEdgesDatabase
Clifford Wolf [Sun, 24 Jul 2016 15:21:53 +0000 (17:21 +0200)]
Improvements in CellEdgesDatabase