yosys.git
7 years agoYosys 0.7 yosys-0.7
Clifford Wolf [Thu, 3 Nov 2016 08:08:43 +0000 (09:08 +0100)]
Yosys 0.7

7 years agoBugfix in "hierarchy -check"
Clifford Wolf [Wed, 2 Nov 2016 19:09:57 +0000 (20:09 +0100)]
Bugfix in "hierarchy -check"

7 years agoUpdated command reference in manual
Clifford Wolf [Wed, 2 Nov 2016 18:25:28 +0000 (19:25 +0100)]
Updated command reference in manual

7 years agoChangelog for Yosys 0.7
Clifford Wolf [Wed, 2 Nov 2016 17:53:30 +0000 (18:53 +0100)]
Changelog for Yosys 0.7

7 years agoAdded support for fsm_encoding="user"
Clifford Wolf [Wed, 2 Nov 2016 12:15:49 +0000 (13:15 +0100)]
Added support for fsm_encoding="user"

7 years agoAdded "fsm_expand -full"
Clifford Wolf [Wed, 2 Nov 2016 08:31:39 +0000 (09:31 +0100)]
Added "fsm_expand -full"

7 years agoSome fixes in handling of signed arrays
Clifford Wolf [Tue, 1 Nov 2016 22:17:43 +0000 (23:17 +0100)]
Some fixes in handling of signed arrays

7 years agoiCE40 flow is not experimental anymore
Clifford Wolf [Tue, 1 Nov 2016 10:32:02 +0000 (11:32 +0100)]
iCE40 flow is not experimental anymore

7 years agoAdded initial version of "synth_gowin"
Clifford Wolf [Tue, 1 Nov 2016 10:31:13 +0000 (11:31 +0100)]
Added initial version of "synth_gowin"

7 years agoAdde "write_verilog -renameprefix -v"
Clifford Wolf [Tue, 1 Nov 2016 10:30:27 +0000 (11:30 +0100)]
Adde "write_verilog -renameprefix -v"

7 years agoAdded support for (single-clock) transparent memories to bram tests
Clifford Wolf [Tue, 1 Nov 2016 09:03:13 +0000 (10:03 +0100)]
Added support for (single-clock) transparent memories to bram tests

7 years agoBugfix in fsm_map for FSMs without reset state
Clifford Wolf [Tue, 25 Oct 2016 21:21:37 +0000 (23:21 +0200)]
Bugfix in fsm_map for FSMs without reset state

7 years agoAdded avail params to ilang format, check module params in 'hierarchy -check'
Clifford Wolf [Sat, 22 Oct 2016 09:05:49 +0000 (11:05 +0200)]
Added avail params to ilang format, check module params in 'hierarchy -check'

7 years agoAdded "setparam -type"
Clifford Wolf [Wed, 19 Oct 2016 11:54:04 +0000 (13:54 +0200)]
Added "setparam -type"

7 years agoNo limit for length of lines in BLIF front-end
Clifford Wolf [Wed, 19 Oct 2016 10:44:58 +0000 (12:44 +0200)]
No limit for length of lines in BLIF front-end

7 years agoMerge pull request #250 from azonenberg/master
Clifford Wolf [Wed, 19 Oct 2016 09:37:04 +0000 (11:37 +0200)]
Merge pull request #250 from azonenberg/master

Add support for more GreenPak cells (edge detector, delay, pattern generator)

7 years agoFixed typo in last commit
Andrew Zonenberg [Wed, 19 Oct 2016 03:46:49 +0000 (20:46 -0700)]
Fixed typo in last commit

7 years agogreenpak4: Added GP_PGEN cell definition
Andrew Zonenberg [Wed, 19 Oct 2016 03:42:44 +0000 (20:42 -0700)]
greenpak4: Added GP_PGEN cell definition

7 years agoAdded GLITCH_FILTER parameter to GP_DELAY
Andrew Zonenberg [Wed, 19 Oct 2016 02:53:19 +0000 (19:53 -0700)]
Added GLITCH_FILTER parameter to GP_DELAY

7 years agogreenpak4: added model for GP_EDGEDET block
Andrew Zonenberg [Wed, 19 Oct 2016 02:33:26 +0000 (19:33 -0700)]
greenpak4: added model for GP_EDGEDET block

7 years agoMerge https://github.com/cliffordwolf/yosys
Andrew Zonenberg [Wed, 19 Oct 2016 02:29:25 +0000 (19:29 -0700)]
Merge https://github.com/cliffordwolf/yosys

7 years agoIgnore L_pi nets in "yosys-smtbmc --cex"
Clifford Wolf [Tue, 18 Oct 2016 08:54:53 +0000 (10:54 +0200)]
Ignore L_pi nets in "yosys-smtbmc --cex"

7 years agoUse init value "2" for all uninitialized FFs in BLIF back-end
Clifford Wolf [Tue, 18 Oct 2016 08:54:04 +0000 (10:54 +0200)]
Use init value "2" for all uninitialized FFs in BLIF back-end

7 years agoAdded "yosys-smtbmc --cex <filename>"
Clifford Wolf [Mon, 17 Oct 2016 12:57:28 +0000 (14:57 +0200)]
Added "yosys-smtbmc --cex <filename>"

7 years agoBugfix in "miter -assert" handling of assumptions
Clifford Wolf [Mon, 17 Oct 2016 12:56:58 +0000 (14:56 +0200)]
Bugfix in "miter -assert" handling of assumptions

7 years agoAdded clk2fflogic support for $dffsr and $dlatch
Clifford Wolf [Mon, 17 Oct 2016 11:28:55 +0000 (13:28 +0200)]
Added clk2fflogic support for $dffsr and $dlatch

7 years agogreenpak4: Changed parameters for GP_SYSRESET
Andrew Zonenberg [Mon, 17 Oct 2016 05:53:43 +0000 (22:53 -0700)]
greenpak4: Changed parameters for GP_SYSRESET

7 years agoImprovements and bugfixes in clk2fflogic
Clifford Wolf [Sun, 16 Oct 2016 21:03:29 +0000 (23:03 +0200)]
Improvements and bugfixes in clk2fflogic

7 years agocleanup in write_smt2 log messages (-bv and -mem are now default)
Clifford Wolf [Sun, 16 Oct 2016 21:02:51 +0000 (23:02 +0200)]
cleanup in write_smt2 log messages (-bv and -mem are now default)

7 years agoBuild fixes for VS 2015
Clifford Wolf [Sun, 16 Oct 2016 18:37:02 +0000 (20:37 +0200)]
Build fixes for VS 2015

7 years agoSome minor build fixes for Visual C
Clifford Wolf [Fri, 14 Oct 2016 16:34:44 +0000 (18:34 +0200)]
Some minor build fixes for Visual C

7 years agoAvoid using strcasecmp()
Clifford Wolf [Fri, 14 Oct 2016 16:20:36 +0000 (18:20 +0200)]
Avoid using strcasecmp()

7 years agoFixed version string for out-of-tree builds
Clifford Wolf [Fri, 14 Oct 2016 15:18:18 +0000 (17:18 +0200)]
Fixed version string for out-of-tree builds

7 years agoAdded notes about some formal features to README
Clifford Wolf [Fri, 14 Oct 2016 13:39:33 +0000 (15:39 +0200)]
Added notes about some formal features to README

7 years agoAdded $anyseq cell type
Clifford Wolf [Fri, 14 Oct 2016 13:24:03 +0000 (15:24 +0200)]
Added $anyseq cell type

7 years agoAdded clk2fflogic
Clifford Wolf [Fri, 14 Oct 2016 12:55:07 +0000 (14:55 +0200)]
Added clk2fflogic

7 years agoAdded opt_rmdff support for $ff cells
Clifford Wolf [Fri, 14 Oct 2016 11:02:36 +0000 (13:02 +0200)]
Added opt_rmdff support for $ff cells

7 years agoAdded $global_clock verilog syntax support for creating $ff cells
Clifford Wolf [Fri, 14 Oct 2016 10:33:56 +0000 (12:33 +0200)]
Added $global_clock verilog syntax support for creating $ff cells

7 years agoAdded MEMID handling to "flatten" pass
Clifford Wolf [Fri, 14 Oct 2016 08:36:37 +0000 (10:36 +0200)]
Added MEMID handling to "flatten" pass

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Fri, 14 Oct 2016 07:36:40 +0000 (09:36 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoMerge pull request #246 from set-soft/abc_external_ovr
Clifford Wolf [Fri, 14 Oct 2016 07:36:31 +0000 (09:36 +0200)]
Merge pull request #246 from set-soft/abc_external_ovr

Allow to overwrite ABCEXTERNAL from the environment.

7 years agoAdded YOSYS_VER_STR make variable
Clifford Wolf [Fri, 14 Oct 2016 07:35:18 +0000 (09:35 +0200)]
Added YOSYS_VER_STR make variable

7 years agoUgh! extra patches got here, reverting
Salvador E. Tropea [Thu, 13 Oct 2016 20:57:09 +0000 (17:57 -0300)]
Ugh! extra patches got here, reverting

7 years agoAllow to overwrite ABCEXTERNAL from the environment.
Salvador E. Tropea [Thu, 13 Oct 2016 20:51:14 +0000 (17:51 -0300)]
Allow to overwrite ABCEXTERNAL from the environment.
In this way Debian scripts can define it as berkeley-abc from the shell.

7 years agoModified test target name (to test-all)
Salvador E. Tropea [Thu, 13 Oct 2016 20:38:42 +0000 (17:38 -0300)]
Modified test target name (to test-all)
As this target depends on external tools, and packagers run "make test",
I think the name should be less generic.

7 years agoAdded a new configuration variable GIT_REV_WHERE
Salvador E. Tropea [Thu, 13 Oct 2016 20:34:15 +0000 (17:34 -0300)]
Added  a new configuration variable GIT_REV_WHERE
It determines from where we get the gits SHA1 value. By default is HEAD,
suitable for Clifford, but for Debian we can define it as upstream/master

7 years agoAdded "zinit" pass
Clifford Wolf [Wed, 12 Oct 2016 10:05:19 +0000 (12:05 +0200)]
Added "zinit" pass

7 years agoAdded $ff and $_FF_ cell types
Clifford Wolf [Tue, 11 Oct 2016 23:18:39 +0000 (01:18 +0200)]
Added $ff and $_FF_ cell types

7 years agoFixed "make test" for git head of iverilog
Clifford Wolf [Tue, 11 Oct 2016 10:12:32 +0000 (12:12 +0200)]
Fixed "make test" for git head of iverilog

7 years agodefine PATH_MAX if not defined by limits.h
Clifford Wolf [Tue, 11 Oct 2016 10:12:09 +0000 (12:12 +0200)]
define PATH_MAX if not defined by limits.h

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Tue, 11 Oct 2016 01:58:27 +0000 (03:58 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoAdded smtc support for top-level state with [], [N:] syntax
Clifford Wolf [Sat, 8 Oct 2016 10:25:34 +0000 (12:25 +0200)]
Added smtc support for top-level state with [], [N:] syntax

7 years agoBugfix in yosys-smtbmc --noincr
Clifford Wolf [Mon, 3 Oct 2016 22:54:44 +0000 (00:54 +0200)]
Bugfix in yosys-smtbmc --noincr

7 years agoyosys-smtbmc: ABC is a QF_BV solver
Clifford Wolf [Mon, 3 Oct 2016 18:43:38 +0000 (20:43 +0200)]
yosys-smtbmc: ABC is a QF_BV solver

7 years agoAdded "yosys-smtbmc --noincr"
Clifford Wolf [Mon, 3 Oct 2016 18:30:38 +0000 (20:30 +0200)]
Added "yosys-smtbmc --noincr"

7 years agoUpdate ABV to hg rev eb6eca6807cc
Clifford Wolf [Sun, 2 Oct 2016 20:08:53 +0000 (22:08 +0200)]
Update ABV to hg rev eb6eca6807cc

7 years agoyosys-smtbmc: added smtc [...] support for cells
Clifford Wolf [Sun, 2 Oct 2016 20:08:30 +0000 (22:08 +0200)]
yosys-smtbmc: added smtc [...] support for cells

7 years agoAdded "yosys-smtbmc -s abc"
Clifford Wolf [Sat, 1 Oct 2016 11:54:21 +0000 (13:54 +0200)]
Added "yosys-smtbmc -s abc"

7 years agoUpdated ABV to hg rev 6b74de13c57f
Clifford Wolf [Sat, 1 Oct 2016 10:23:24 +0000 (12:23 +0200)]
Updated ABV to hg rev 6b74de13c57f

7 years agoAdded "prep -nokeepdc"
Clifford Wolf [Fri, 30 Sep 2016 15:02:52 +0000 (17:02 +0200)]
Added "prep -nokeepdc"

7 years agoAdded "opt_rmdff -keepdc"
Clifford Wolf [Fri, 30 Sep 2016 15:02:38 +0000 (17:02 +0200)]
Added "opt_rmdff -keepdc"

7 years agoUpdated ABV to hg rev 2bc57cc30593
Clifford Wolf [Fri, 30 Sep 2016 08:56:36 +0000 (10:56 +0200)]
Updated ABV to hg rev 2bc57cc30593

7 years agoMinor improvements in yosys-smtbmc
Clifford Wolf [Sat, 24 Sep 2016 18:40:22 +0000 (20:40 +0200)]
Minor improvements in yosys-smtbmc

7 years agoAdded liberty parser support for types within cell decls
Clifford Wolf [Fri, 23 Sep 2016 11:53:23 +0000 (13:53 +0200)]
Added liberty parser support for types within cell decls

7 years agoMerge branch 'master' of https://github.com/brouhaha/yosys
Clifford Wolf [Fri, 23 Sep 2016 11:42:08 +0000 (13:42 +0200)]
Merge branch 'master' of https://github.com/brouhaha/yosys

7 years agoAdd optional SEED=n command line option to Makefile, and -S n command line option...
Eric Smith [Thu, 15 Sep 2016 08:00:29 +0000 (02:00 -0600)]
Add optional SEED=n command line option to Makefile, and -S n command line option to test scripts, for deterministic regression tests.

7 years agoAdded autotest.sh -I
Clifford Wolf [Tue, 20 Sep 2016 07:29:56 +0000 (09:29 +0200)]
Added autotest.sh -I

7 years agoCosmetic fix in test_autotb.cc
Clifford Wolf [Mon, 19 Sep 2016 18:43:43 +0000 (20:43 +0200)]
Cosmetic fix in test_autotb.cc

7 years agoAdded yosys-smtbmc --noinfo and --dummy
Clifford Wolf [Mon, 19 Sep 2016 18:43:28 +0000 (20:43 +0200)]
Added yosys-smtbmc --noinfo and --dummy

7 years agoAvoid creating very long strings in test_autotb
Clifford Wolf [Mon, 19 Sep 2016 08:20:20 +0000 (10:20 +0200)]
Avoid creating very long strings in test_autotb

7 years agoAdded $past, $stable, $rose, $fell SVA functions
Clifford Wolf [Sun, 18 Sep 2016 23:30:07 +0000 (01:30 +0200)]
Added $past, $stable, $rose, $fell SVA functions

7 years agoImproved handling of SMT2 logics in yosys-smtbmc
Clifford Wolf [Sun, 18 Sep 2016 18:48:09 +0000 (20:48 +0200)]
Improved handling of SMT2 logics in yosys-smtbmc

7 years agoAdded support for bus interfaces to "read_liberty -lib"
Clifford Wolf [Sun, 18 Sep 2016 16:48:59 +0000 (18:48 +0200)]
Added support for bus interfaces to "read_liberty -lib"

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Sat, 17 Sep 2016 22:50:02 +0000 (00:50 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoyosys-smtbmc: added -i support smtc files
Clifford Wolf [Sat, 17 Sep 2016 22:48:36 +0000 (00:48 +0200)]
yosys-smtbmc: added -i support smtc files

7 years agoBugfix in techmap parameter handling
Clifford Wolf [Wed, 14 Sep 2016 18:46:54 +0000 (20:46 +0200)]
Bugfix in techmap parameter handling

7 years agoWork-around for boolector bug
Clifford Wolf [Tue, 13 Sep 2016 11:23:06 +0000 (13:23 +0200)]
Work-around for boolector bug

7 years agoMerge pull request #228 from Kmanfi/test
Clifford Wolf [Tue, 13 Sep 2016 10:34:19 +0000 (12:34 +0200)]
Merge pull request #228 from Kmanfi/test

Fix for modules with big interfaces.

7 years agoFix for modules with big interfaces.
Kaj Tuomi [Tue, 13 Sep 2016 10:13:27 +0000 (13:13 +0300)]
Fix for modules with big interfaces.

7 years agoAdded missing :produce-models setting to smtio.py
Clifford Wolf [Sun, 11 Sep 2016 16:08:56 +0000 (18:08 +0200)]
Added missing :produce-models setting to smtio.py

7 years agoMinor improvements to smtio.py vcd writer
Clifford Wolf [Sat, 10 Sep 2016 14:24:08 +0000 (16:24 +0200)]
Minor improvements to smtio.py vcd writer

7 years agofixed write_smt2 for (non-combinatorial) loops through hierarchical cells
Clifford Wolf [Sat, 10 Sep 2016 13:14:41 +0000 (15:14 +0200)]
fixed write_smt2 for (non-combinatorial) loops through hierarchical cells

7 years agosmt2 mem init bugfix
Clifford Wolf [Thu, 8 Sep 2016 16:08:15 +0000 (18:08 +0200)]
smt2 mem init bugfix

7 years agoMerge branch 'master' of github.com:cliffordwolf/yosys
Clifford Wolf [Thu, 8 Sep 2016 09:17:05 +0000 (11:17 +0200)]
Merge branch 'master' of github.com:cliffordwolf/yosys

7 years agoyosys-smtbmc meminit support
Clifford Wolf [Thu, 8 Sep 2016 09:16:12 +0000 (11:16 +0200)]
yosys-smtbmc meminit support

7 years agoMerge pull request #225 from Kmanfi/test
Clifford Wolf [Thu, 8 Sep 2016 08:06:40 +0000 (10:06 +0200)]
Merge pull request #225 from Kmanfi/test

Typo fix.

7 years agoTypo fix.
Kaj Tuomi [Thu, 8 Sep 2016 07:57:16 +0000 (10:57 +0300)]
Typo fix.

7 years agoBugfix in "yosys-smtbmc --unroll"
Clifford Wolf [Wed, 7 Sep 2016 19:01:51 +0000 (21:01 +0200)]
Bugfix in "yosys-smtbmc --unroll"

7 years agoAdded "yosys-smtbmc --unroll"
Clifford Wolf [Wed, 7 Sep 2016 18:57:56 +0000 (20:57 +0200)]
Added "yosys-smtbmc --unroll"

7 years agoInstall celledges.h
Clifford Wolf [Wed, 7 Sep 2016 11:43:57 +0000 (13:43 +0200)]
Install celledges.h

7 years agoImprovements in assertpmux
Clifford Wolf [Wed, 7 Sep 2016 10:42:16 +0000 (12:42 +0200)]
Improvements in assertpmux

7 years agoUpdated ABC to hg 8e08604f8ad3
Clifford Wolf [Wed, 7 Sep 2016 09:08:54 +0000 (11:08 +0200)]
Updated ABC to hg 8e08604f8ad3

7 years agoAdded assertpmux
Clifford Wolf [Tue, 6 Sep 2016 22:28:01 +0000 (00:28 +0200)]
Added assertpmux

7 years agoAdded "tee +INT -INT"
Clifford Wolf [Tue, 6 Sep 2016 15:43:24 +0000 (17:43 +0200)]
Added "tee +INT -INT"

7 years agoRun log_flush() before solving in sat command
Clifford Wolf [Tue, 6 Sep 2016 15:35:25 +0000 (17:35 +0200)]
Run log_flush() before solving in sat command

7 years agoBugfix in parsing of BLIF latch init values
Clifford Wolf [Tue, 6 Sep 2016 15:35:06 +0000 (17:35 +0200)]
Bugfix in parsing of BLIF latch init values

7 years agoAvoid creation of bogus initial blocks for assert/assume in always @*
Clifford Wolf [Tue, 6 Sep 2016 15:34:42 +0000 (17:34 +0200)]
Avoid creation of bogus initial blocks for assert/assume in always @*

7 years agoFix spelling and grammar in README
Larry Doolittle [Tue, 6 Sep 2016 02:58:18 +0000 (19:58 -0700)]
Fix spelling and grammar in README

7 years agoyosys-smtbmc: flush stdout after each log msg
Clifford Wolf [Mon, 5 Sep 2016 23:40:31 +0000 (01:40 +0200)]
yosys-smtbmc: flush stdout after each log msg

7 years agoMinor bugfix in write_smt2
Clifford Wolf [Sun, 4 Sep 2016 14:32:47 +0000 (16:32 +0200)]
Minor bugfix in write_smt2