add test for yosys's $divfloor and $modfloor cells