vendor.intel: implement `add_settings` (QSF) and `add_constraints` (SDC) overrides.