verific: Use new value change logic also for $stable of wide signals.