if no wb stall assume single-cycle mode
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 8 Apr 2021 23:02:24 +0000 (00:02 +0100)
committerStaf Verhaegen <staf@stafverhaegen.be>
Thu, 22 Apr 2021 09:15:53 +0000 (11:15 +0200)
c4m/nmigen/jtag/tap.py

index 44e0ad49bf73cfe8932a28f3f8336639e7dd7020..41174a31f27fb99296dcf748d852fd768d5939ec 100755 (executable)
@@ -791,8 +791,17 @@ class TAP(Elaboratable):
                         m.d[domain] += wb.adr.eq(wb.adr + 1)
                         m.next = "READ"
 
+                if hasattr(wb, "stall"):
+                    m.d.comb += wb.stb.eq(fsm.ongoing("READ") |
+                                          fsm.ongoing("WRITEREAD"))
+                else:
+                    # non-stall is single-cycle (litex), must assert stb
+                    # until ack is sent
+                    m.d.comb += wb.stb.eq(fsm.ongoing("READ") |
+                                          fsm.ongoing("WRITEREAD") |
+                                          fsm.ongoing("READACK") |
+                                          fsm.ongoing("WRITEREADACK"))
                 m.d.comb += [
                     wb.cyc.eq(~fsm.ongoing("IDLE")),
-                    wb.stb.eq(fsm.ongoing("READ") | fsm.ongoing("WRITEREAD")),
                     wb.we.eq(fsm.ongoing("WRITEREAD")),
                 ]